用户名: 密码: 验证码:
集成电路低功耗设计可逆逻辑综合及性能分析
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着集成电路工艺进入超深亚微米和纳米时代,不断增大的电路规模、不断增加的功耗和日益凸现的物理效应等问题,都成为集成电路自动化所面临的新挑战。快速发展的集成电路低功耗设计自动化需要多学科交叉研究,存在着大量的组合优化和统计分析等问题,已成为该领域备受关注的研究热点和前沿。工艺参数变动对电路性能(如速度和功耗)的影响越来越大,迫使低功耗设计辅助工具软件必须考虑工艺参数变化对低功耗设计的影响。集成电路低功耗设计算法需要更为高效的电路时延和功耗分析算法,所以如何提高电路时延和功耗统计分析的效率,不仅是电路时延和功耗统计分析领域的研究方向,也是电路低功耗设计统计方法研究进一步发展的关键。可逆电路对低功耗设计有着潜在的优势。本文以可逆电路为背景,对结合物理因素的逻辑综合和性能分析方法进行深入研究,旨在探讨低功耗设计中以物理因素为中心的逻辑综合优化方法和性能分析方法。
     本文深入分析了可逆电路的工作特点、综合优化及其性能分析问题,提出了相应的综合优化方法并实现了该算法。研究了可逆电路的面积和时延问题,采用矩阵模型和符号代数作为理论基础,提出了一种符号综合方法;深入研究了可逆电路的时延分析问题,针对串扰对电路的时延带来的影响,探讨了串扰时延的计算方法,进一步在考虑面积、时延、串扰等约束下利用成本函数来指导综合过程;深入分析和研究了性能分析问题,针对工艺参数变动对电路性能(如速度和功耗)的影响日益显著的问题,提出了更加精确的电路时延和漏功耗分析方法;针对分析的复杂度和精确度问题,提出了面向性能的参数约简方法;探讨了时空参数下的分析问题,取得了以下创新性的成果:
     ●将可逆电路映射到一个矩阵上,能够方便地从中提炼出成本函数所必需的相关因子以及电路中任意点的输入输出值。在缩减所用门数量的同时,将减少垃圾线数作为次要目标。将可逆逻辑综合问题当作多输出逻辑综合来处理,通过划分确保每个划分区中必要输出为单输出,利用符号代数方法找出每个划分的候选门。通过附加额外的约束条件,将PPRM中的布尔运算映射为布尔多项式的运算,提出的一种以Gr(?)bner基为数学基础的分解策略来获得候选门,既解决计算复杂性问题,又改善了电路的性能。
     ●本文在综合过程中考虑了串扰因素,通过信号波形间的距离,同时考虑波形间的形状,分析波形之间的相关性,定义了波形相似性的概念,建立了串扰以及串扰时延的计算方法,提出了基于串扰优化的交换线间排列的优化方法。这是其他可逆电路综合方法忽视的问题。在成本函数中,我们提出了权值确定算法,使我们能根据不同的优化目标找到适宜的结果。
     ●在解决低功耗问题时,不能单纯依靠低功耗器件的选用,还必须与其他一些方法相结合来设计系统。本文将海森矩阵的概念引入到二次时延模型中,建立了改进的二次时延模型,纠正了现有二次模型分析中的方差分析错误,为下面的工作打基础。本文提出了电路漏功耗的对数用改进的二次模型拟合方法,直接用工艺参数变动变量表达,并论证了这一拟合的正确性和合理性,简化分析过程。
     ●本文建立了一个层次化性能分析模型,根据电路的时延和漏功耗的对数的统一表达形式,提出了一种新颖的基于CH(Correlation-Hessian matrix)的面向性能的参数约简方法,保留部分重要参数,减小计算规模。利用CH矩阵作约简方法既考虑了工艺参数之间的依赖关系,又考虑到它们与高层次之间的关系,从而提高性能预算的精确度。探索时空参数变化下的参数模型,尝试将工艺参数扩展到时空参数做讨论。
     本论文深入研究了可逆电路的综合优化问题以及工艺参数变化带来的性能分析等新问题,具有很强的针对性和代表性,可以作为其他以低功耗设计为中心的集成电路自动化设计方法的借鉴。
With shrinking device size,physical effects become an increasinglycritical determinant of synthesis,optimization and performance analysis inVLSI design.The increasing of circuits scale as well as the more prominentphysical effects bring huge challenge to the design of integrated circuit.ICdesign automation is a rapid development,changing,interdisciplinary andalgorithm-intensive fields,where exists lots of combinational optimizationproblems and statistic analysis problems.Therefore,it draws great concern ofthis yield.With technology scaling,process variations have a growing impacton circuit performance(such as speed and power) for today's integrated circuit(IC) technologies.Low power design automation tools have to consider theimpact of process variations.It needs more effectual circuit delay and poweranalysis algorithm.Advance analysis efficiency is interested in the yield and isbecoming a key issue in present and future technologies.Under these situations,this thesis investigates logic synthesis and performance analysis whichconsiders physical effects.It is hoped that these discussions presented in thethesis can push forward the research work on the low power design.
     The thesis analyzes the work property of reversible circuits,synthesis andoptimization problems as well as performance analysis problems.Also,itintroduces some practical design algorithms behind these problems.The thesisconsists of four parts,corresponding to various aspects of low power designissues.The first part analyzes the area and delay of reversible ciruits.Asymbolic synthesis algorithm based on the matrix model and symbolic algebrais introduced to solve computational complexity problem.The second partresearch works considering the crosstalk problem in the logic,synthesis.Anefficient crosstalk reduction algorithm by permuting wires is also introduced inthe thesis.The third part analyzes the delay and leakage power of reversiblecircuits under process variation.The fourth part investigates the hierarchicalperformance analysis.A performance-oriented parameter reduction method is presented.A performance analysis under time-space parameter is discussed.The main contribution of the thesis are as follows:
     The matrix modelof reversible circuit is defined.Using the model allowsus to easily extract the required factors of cost function and the inputs andoutputs values of each point in circuit.It minimizes the number of gates,pathdelay and crosstalk as the objective.We act the reversible logic synthesisproblem as multi-output logic synthesis.The method has greater potential to beextended to functions with more than just a few inputs and outputs.We resolveBoolean problem via symbolic algebra method.It not only solve computionalcomplexity,but also improve circuit performance.
     The heuristic Synthesis algorithm is presented in the thesis.We define thesimilarity between waveforms by statistics.Based on matrix model andsymbolic algebra,the thesis offers a symbolic synthesis method and performsdelay and crosstalk optimization simultaneously.Using the cost function themethod steers the synthesis process,which considers multiple optimizationobjectives,including area,delay and crosstalk.
     To solve low power problem,we not only use low power circuitconfiguration,but also combine with other design methods.The thesisconstructs improved quadratic model using Hessian matrix.We correct varianceanalysis result of existing quadratic model.Using the improved quadratic model,circuit delay and leakage power analysis under process variations is presentedand demonstrated.
     In the thesis,a hierarchical modeling for performance analysis is built.Circuit delay and the lognormal expansion of the leakage power areexpressed as the quadratic model.A novel parameter reduction method basedon CH(Correlation-Hessian matrix) is presented.It accounts for allcorrelations,from manufacturing process dependence,to high-level analysisto produce more accurate performance predictions.
     In the thesis,issues of reversible circuits synthesis and based onCorrelation-Hessian matrix hierarchical delay and leakage power analysis under process variation are investigated.This work is a typical research work in ICdesign automation field considering lower power design.It is also a goodreference for other researchers and designers working in the field.
引文
[1]Xiong J,He L.Full-chip routing optimization with RLC crosstalk budgeting.IEEE Transactions on Computer-Aided Design of Integrated Circuits and systems,2004,23(3):366-378P
    [2]Harry V.Deep-Submicron CMOS ICs.Boston:Kluwer Academic Publisher,2001:11-36P
    [3]Levy R,Rlaauw D.ClariNet:A noise analysis tool for deep submicron design.Proceedings of 37th Design Automation Conference,2000:233-238P
    [4]Chou S L.Integration and Innovation in the Nanoelectronics Era.Proceeding of the International Solid-State Circuits Conference(ISSCC).San Francisco,2005:36-41P
    [5]骆祖莹,洪先龙.芯片功耗与摩尔定律的终结.“计算机科学面临的挑战”高层研讨会.中国,厦门,2003:15-25页
    [6]Luo Z Y,Cai Y C,Tan X D.Time-domain analysis methodology for large-scale RLC circuits and its applications.Science in China(F Series),2006,49(5):665-680P
    [7]Luo Z Y.Efficient optimization methodology in early-stage design of mesh-structured on-chip power/ground(P/G)networks.Proceedings of the International Conference on Solid-State and Integrated-Circuit Technology(ICSICT).Shanghai,2006:1911-1913P
    [8]Fu J J,Luo Z Y,Hong X L.VLSI on-chip power/ground network optimization considering decap leakage currents.Proceedings of the Asia South Pacific Design Automation Conference(ASPDAC).Shanghai,2005:735-738P
    [9]Ferzli I A,Najm F N.Statistical verification of power grids considering process-induced leakage current variations.Proceedings of the Intenrational Conefrenee on Computer-Aided Design (ICCAD). San Jose, 2003:770-777P
    [10] Wang T Y, Lee Y M, Chen C P. 3D thermal-ADI-An efficient chip-level transient thermal simulator. Proceedings of the International Symposium on Physical Design (ISPD). Monteray, 2003: 10-17P
    [11] Kouroussis D, Ahmadi R, Najm F N. Worst-case circuit delay taking into account power supply variations. Proceedigns of the 41~(st) Design Automation Conference. San Diego, 2004:652-657P
    [12] Ban Y C, Choi S H, Lee K H. A fast lithography verification framework for litho-friendly layout design. Proceedings of the International Symposium on Quality Electronic Design (ISQED). San Jose, 2005: 169-174P
    [13] SIA.The International Technology Roadmap for Semiconductors 2006 update.http://www.itrs.net/links/2006update/fmaltopost/00_execsum20 06update.pdf
    [14] Karnk T, Borkar S, De V. Sub-90nm technologies - challenges and opportunities for CAD. Proceedings of the Intenrational Conefrenee on Computer-Aided Design (ICCAD). San Jose, 2002: 203-207P
    [15] Puri R, Stok L, Bhattacharya S. Keeping hot chips cool. Proceedings of the 42~(nd) Design Automation Conference. Anaheim, 2005: 285-288P
    [16] Landauer R. Irreversibility and heat generation of the computing process. IBM J. Res. Dev. 1961:183P
    [17] Chang J M, Pedram M. Power optimization and synthesis at behavioral and system levels using formal methods. Boston: Kluwer Academic Publishers, 1999:16-37P
    [18] Iman S, Pedram M. Logic synthesis for low power VLSI designs. Boston: Kluwer Academic Publishers, 1997:20-46P
    [19] Benini L, Micheli G D. Dynamic power management design techniques and CAD tools. Boston: Kluwer Academic Publishers, 1998:18-35P
    [20] Fredkin E, Toffoli T. Conservative logic. Int. J. Theor. Phys., 21(3/4), 1982:219-253P
    [21] Barton E. A reversilbe computer using conservative logic. Unpublished MIT 6.895 term paper, 1978
    [22] Ressler A. The Design of a Conservative Logic Computer and A Graphical Editor Simulator. Master' s thesis, Massachusetts Institute of Technology, 1981
    [23] Younis S, Knight T. Non disspative rail drivers for adiabatic circuits. Proceedings of sixteenth conference on advanced research in VLSI 1995:404-414P
    [24] Zilic Z, Radecka K, Kazamiphur A. Reversible circuit technology mapping from non-reversible specifications. Proceedins of the Design, Automation and Test in Europe Conference and Exhibition (DATE),2007: 558-563P
    [25] Vos A D, Rentergem Y V. Reversible Computing :from Mathematical Group Theory to Electronical Circuit Experiment. Proceedings of the Conference on Computing Frontiers (CF), 2005: 35-44P
    [26] Prasad A K, Shende V V, Markov I L, Hayes J P, Patel K N. Data Structures and Algorithms for Simplifying Reversible Circuits. ACM Journal on Emerging Technologies in Computing Systems, 2006, 2(4):277-293P
    [27] Vos A D, Raa B, Storme L. Generating the group of reversible logic gates. J. Phys A 35, 2002:7063-7078P
    [28] Michael P F. Improving FLOPS/Watt by Computing Reversibly, Adiabatically, & Ballistically. Presented at the Workshop on Energy and Computation: Flops/Watt and Watts/Flop, Center for Bits and Atoms, MIT Wednesday, May 10, 2006
    [29] Miller D M, Maslov D, Dueck G W. A transformation based algorithm for reversible logic synthesis. Proceedings of 40~(th) Design Automation Conference, Anaheim, CA, 2003: 318-323P
    [30] Maslov D, Dueck G W, Miller D M. Toffoli network synthesis with templates. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 2005, 24(6): 807-817P
    [31] Maslov D, Dueck G W, Miller D M. Synthesis of Fredkin-Toffoli reversible networks. IEEE Trans. on very large scale integration (VLSI) systems, 2005, 13(6):765-769P
    [32] Dueck G W, Maslov D. Reversible function synthesis with minimum garbage outputs. The 6th International Symposium on Representations and Methodology of Future Computing Technologies, 2003: 154-161P
    [33] Maslov D, Dueck G W. Reversible Cascades with Minimal Garbage. IEEE Trans. on computer-aided design of integrated circuits and systems, 2004, 23(11):1497-1509P
    [34] Maslov D, Dueck G W. Garbage in reversible design of multiple output functions. The 6th International Symposium on Representations and Methodology of Future Computing Technologies, 2003: 162-170P
    [35] Kerntopf P. A New Heuristic Algorithm for Reversible Logic Synthesis. Proceedings of 41~(st) Design Automation Conference, San Diego, California, USA, 2004: 834-837P
    [36] Voudouris D, Stergiou S, Papakonstantinou G. Minimization of reversible wave cascades. IEICE Trans. Fundamentals, 2005, E88-A(4): 1015-1023P
    [37] Khan M H A, Perkowski M A, Khan M R. Ternary Galois field expansions for reversible logic and Kronecker decision diagrams for ternary GFSOP minimization [Galois field sum of products]. The 34th international symposium on Multiple-Valued Logic(ISMVL), 2004:58-67P
    [38] Agarwal K, Cao Y, Sato T. Efficient generation of delay change curves for noise aware timing analysis. Proceedings of the 7th Asia South Pacific Design Automation Conference (ASPDAC) and the 15th VLSI Design. Bangalre, india, 2002: 77-84P
    [39] Orshansky M, Keutzer K. A general probabilistic framework for worst case timing analysis. Proceedings of the 39~(th) Design Automation Conference. New Orleans, 2002: 556-561P
    [40] Devgan A, Kashyap C. Block-based static timing analysis with uncertainty. Proceedings of the Intenrational Conefrenee on Computer-Aided Design (ICCAD). San Jose, 2003:607-614P
    [41] Chang H L, Sapatnekar S S. Statistical timing analysis considering spatial correlations using a single pert-like traversal. Proceedings of the Intenrational Conefrenee on Computer-Aided Design (ICCAD). San Jose, 2003:621-625P
    [42] Le J Y, Li X, Pileggi L T. STAC: Statistical timing analysis with correlation. Proceedings of the 41~(st) Design Automation Conference.San Diego, 2004:343-348P
    [43] Najm F N, Menezes N. Statistical timing analysis based on a timing yield model. Proceedings of the 41~(st) Design Automation Conference.San Diego, 2004:460-465P
    [44] Zhang L Z,Chen W I, Hu Y H. Correlation -preserved non-Gaussian statistical timing analysis with quadratic timing model. Proceedings of the 42~(nd) Design Automation Conference. Anaheim, 2005:83-88P
    [45] Chang H L, Zolotov V, Narayan S. Parameterized block-based statistical timing analysis with non-Gaussian parameters, nonlinear delay functions. Proceedings of the 42~(nd) Design Automation Conference. Anaheim, 2005:71-76P
    [46] Rao R, Srivastava A, Blaauw D. Statistical estimation of leakage current considering inter and intra-die process variations. Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED). Seoul, 2003:84-89P
    [47] Borkar S, Karnik T, Narendra S. Parameter variation and impact on circuits and micro-architecture. Proceedings of the 40~(th) Design Automation Conference. Anaheim, 2003:338-342P
    [48] Chang H L, Sapatnekar S S. Full-chip analysis of leakage power under process variation. Proceedings of the 42~(nd) Design Automation Conference. Anaheim, 2005:523-528P
    [49] Bai X L, Visweswariah C, Strenski P N, et al. Uncertainty aware circuit optimization . Proceedings of the 39th Design Automation Conference. New Orleans , 2002. New York: ACM Press, 2002: 58-63P
    [50] Chen T, Naffziger S. Comparison of adaptive body bias and adaptive supply voltage for improving delay and leakage under the presence of process variation. IEEE Transactions on VLSI Systems,2003,11(5):888-899P
    [51] Neau C, Roy K. Optimal body bias selection for leakage improvements and process compensation over different technology generations . Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED). Seoul, 2003:116-121P
    [52] Choi S H, Paul B C, Roy K. Novel sizing algorithm for yield improvement under process variation in nanometer technology. Proceedings of the 41st Design Automation Conference. San Diego, 2004 : 454-459P
    [53] Srivastava A, Sylvester D, Blaauw D. Statistical optimization of leakage power considering process variations using dual-vth and sizing . Proceedings of the 41st Design Automation Conference. San Diego, 2004: 773-778P
    [54] Azizi N, Khellah M M, De V, et al. Variations-aware low-power design with voltage scaling. Proceedings of the 42nd Design Automation Conference. Anaheim, 2005:529-534P
    [55] Bhardwaj S, Vrudhula S B K. Leakage minimization of nanometer circuits in the presence of systematic and random variations . Proceedings of the 42~(nd) Design Automation Conference. Anaheim, 2005: 541-546P
    [56]Srivastava A,Shah S,Agarwal K,et al.Accutate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance.Proceedings of the 42~(nd)Design Automation Conference.Anaheim,2005:535-540P
    [57]VanSlvke R.Monte-carlo methods and the pert problem.Operations Research,1963,11(5):839-860P
    [58]Tian M and Shi R J.Worst case tolerance analysis of linear analog circuits using sensitivity bands.IEEE TVAS,2000,57(8):1138-1145P
    [59]Agarwal A,Zolotov V,Blaauw D.Statistical timing analysis using bounds and selective enumeration.IEEE Transactions on Computer Aided Design Interarated circuits and system,2003,22(9):1243-1260P
    [60]Orshansky M and Keutzer K.A general probabilistic framework for worst case timing analysis.Proceedings of the 39~(th)Design Automation Conference,June 2002:556-561P
    [61]Bhardwaj S,Vrudhula S,Ghanta P,Cao Y.Modeling of Intra-die process variations for accurate analysis and optimization of Nano-scal circuits.Proceedings of the 43~(rd)Design Automation Conference,July 2006:791-796P
    [62]Giudici P.Applied Data Mining:Statistical Methods for Business and Industry.Beijing:Electronics Industry Press,2004:116-187P
    [63]Hastie T,Tibshirani R,Friedman J.The Elements of Statistical Learning:Data Mining,Inference,and Prediction.Beijing:Electronics Industry Press,2004:567P
    [64]王永利,徐宏炳,董逸生,钱江波,刘学军.基于低阶近似的多维数据流相关性分析.电子学报.2006,34(2):293-300页
    [65]傅向华,冯博琴,马兆丰,韩冰.基于核方法的Web挖掘研究.小型微型计算机系统.2005, 26(5):727-731页
    [66]夏国恩,金宏,金炜东.基于协方差函数的非线性主成分分析.2006,207(2):21-23页
    [67]骆祖莹.芯片功耗与工艺参数变化:下一代集成电路设计的两大挑战.计算机学报.2007,30(7):1054-1063页
    [68]徐勇军,陈治国,骆祖莹,李晓维.深亚微米CMOS电路漏电流快速模拟器.计算机研究与发展.2004,41(5):880-885页
    [69]Xu Y J,Luo Z Y,Chen Z G,Li X W.A maximum total leakage current estimation method.Proceedings of the IEEE International Symposium on Circuits and Systems(ISCAS).Vancouver,2004:Ⅱ757-Ⅱ760P
    [70]Mukhopadhyay S,Raychowdhury A,Roy K.Acuurate estimation of total leakage current in scaled CMOS logic circuits based on compact current modeling.Proceedings of the 40~(th)Design Automation Conference.Anaheim,2003:169-174P
    [71]Agaiwal A,Kim C H,Mukhopadhyay S.Leakage in nano-scale technologies:Mechanisms,impact and design considerations.Proceedings of the 41~(st)Design Automation Conference.San Diego,2004:6-11P
    [72]Chou S L.Integration and innovation in the nanoelectronics era.Proceedings of the International Solid-State Circuits Conference(ISSCC).San Francisco,2005:36-41P
    [73]Luo Z Y,Min Y H,Yang S Y,Li X W.The monotonic increasing relationship between delay and its applications.Science in China F Series,2002,45(6):401-405P
    [74]Rossello J L,Segura J.Power-delay modeling of dynamic CMOS gates for circuit optimization.Proceedings of the Intenrational Conefrenee on Computer-Aided Design(ICCAD).San Jose,2001:494-499P
    [75]Wang Q,Roy S.Power minimization by clock root gating.Proceedings of the 7th Asia South Pacific Design Automation Conference(ASPDAC)and the 15th VLSI Design.Bangalore,2002:249-254P
    [76]Seo J,Kim T,Chung K S.Profile based optimal intra-task voltage scheduling for hard real-time applications.Proceedings of the 41st Design Automation Conference.San Diego,2004:87-92P
    [77] Zhu Y K, Albonesi D H. Localized micro-architecture-level voltage management. Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS). Island of Kos, 2006: 37-40P
    [78] Poirier C, McGowen R, Bostak C. Power and temperature control on a 90nm Itanium familly processor. Proceedings of the International Solid-State Circuits Conference (ISSCC). San Francisco, 2005:304-305P
    [79] Duarte D, Tsui Y F, Vijaykrishnan N, et al. Evaluating runtime techniques for leakge power reduction. Proceedings of the 7th Asia South Pacific Design Automation Conference (ASPDAC) and the 15th VLSI Design. Bangalore, 2002 : 31-38P
    [80] Jayakumar N, Dhar S, Khatri S P. A selfadjusting scheme to determine the optimun RBB by monitoring leakage currents. Proceedings of the 42nd Design Automation Conference. Anaheim, 2005: 43-46P
    [81] Ampadu P. Ultra-low voltage VLSI: Are we there yet? Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS).Island of Kos, 2006: 21-24P
    [82] Xu Y J, Luo Z Y, Chen Z G, et al. Minimum leakage pattern generation using stack effect. Proceedings of the 5th International Conference on ASIC (ASICON). Beijing, 2003: 1239-1242P
    [83] Xu Y J, Luo Z Y, Li X W, Li H W. Optimization of static power for dual threshold CMOS circuits. Chinese Journal of Computer-Aided Design & Computer Graphics, 2003. 15(3): 264-629P
    [84] Wo Z J, Koren I. Effective analytical delay model for transistor sizing. Proceedins of the 10th Asia South Pacific Design Automation Conference (ASPDAC). Shanghai, 2005: 387-392P
    [85] Gupta P, Kahng A B,Sharma P, et al. Selective gate-length biasing for cost- effective runtime leakage control. Proceedings of the 41st Design Automation Conference. San Diego, 2004:327-330P
    [86]Srivastava A,Slyvester D,Blaauw D.Power minimization using simultaneous gate sizing,dual-vdd and dual-vth assignment.Proceedings of the 41st Design Automation Conference.San Diego,2004:783-787P
    [87]Lee D,Kwong W,Blaauw D,et al.Analysis and minimization techniques for total leakage considering gate oxide leakage.Proceedings fo the 40th Design Automation Conference.Anaheim,2003:175-180P
    [88]Sultania A K,Sylvaster D,Sapatnekar S S.Traadeoffs between gate ozide leakage and delay for dual Tox circuits.Proceedings of the 41st Design Automation Conference.San Diego,2004:761-766P
    [89]Nguyen D,Davare A,Orshansky M.Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization.Proceedings of the International Symposium on Low Power Electronics and Design(ISLPED).Seoul,2003:158-163P
    [90]Gao F,Hayes J P.Total power reduction in CMOS circuits via gate sizing and multiple threshold voltages.Proceedings of the 42nd Design Automation Conference.Anaheim,2005:31-36P
    [91]Long C B,He L.Distributed sleep transistor network for power reduction.Proceedings of the 40th Design Automation Conference.Anaheim,2003:181-186P
    [92]杨波.低功耗微处理器体系结构的研究与设计[博士学位论文].西北工业大学,2001:4-11页
    [93]Wang C Y,Chou T L,Roy K.Maximum power estimation for CMOS circuits using deterministic and statistical approaches.IEEE Transactions on Very Large Scale Integration(VLSI)Systems,1998,6(2):134-140P
    [94]Wang C T,Roy K.COSMOS:A Continuous optimization approah for Maximum Power Estimation of CMOS Circuits.Proceedings of the 1997 Intenrational Conefrenee on Computer-Aided Design(ICCAD).SanJose,1997:52-55P
    [95]刘春燕,原巍,沈绪榜.逻辑级功耗估计方法的研究.微电子学.2005,22(8):85-89页
    [96]章小兵.二元判决图及其在VLSI逻辑验证和测试生成中的应用研究.电子科技大学学报.1997,9(2):26-28页
    [97]Najm F N.Transition Density:A new measure of activity in digital circuits.IEEE Transaction on computer-aided design of integrated circuits and systems,1992,12(2):310-323P
    [98]张盛,周润得,羊性滋.基于翻转信息熵的组合逻辑电路功耗分析.电子学报.2004,32(8):1256-1259页
    [99]刘淼,周润德,葛元庆.CMOS数字电路的速度功耗优化设计.微电子学.2000,30(4):273-275页
    [100]钟涛,王豪才.CMOS集成电路的功耗优化和低功耗设计技术.微电子学.2000,2:106-112页
    [101]梁宇等.低功耗数字系统设计方法.东南大学学报(自然科学版).2000,30(5):136-141P
    [102]王昌林,张勇,李东生.CMOS集成电路功耗分析及其优化方法.舰船电子工程.2006,26(3):123-126页
    [103]陈春鸿.CMOS集成电路的功耗分析及低功耗设计技术.浙江工业大学学报.1998,26(3):189-194页
    [104]Gupta P,Agrawal A and Jha N K.An Algorithm for Synthesis of Reversible Logic Circuits.IEEE Trans.On computer-aided design of integrated circuits and systems,2006,25(11):2317-2330P
    [105]Peymandoust A and Micheli G D.Application of symbolic computer Algebra in High-level Data-flow Synthesis.IEEE Trans.On computer-aided design of integrated circuits and systems,2003,22(9):1154-1165P
    [106]http://www.cs.uvic.ca/~dmaslov/
    [107]Sapatnekar S S.A timing model incorporating the effect of crosstalk on delay and its application to optimal channel routing.IEEE Trans.On Computer-Aided Design of Integrated Circuits and System,2000,19(5):550-555P
    [108]Kahng A B,Muddu S,Sarto E.On switch facto based analysis of coupled RC interconnects.Proceedings of the 37~(th)Design Automation Conference,Los Angeles,2000:79-84P
    [109]Sasaki Y,Micheli G D.Crosstalk delay analysis using relative window method.Proceedings of International ASIC/SoC Conference,Washington,D C,1999:9-13P
    [110]冯刚,马光胜,杜振军.动态串扰优化的开关盒布线.半导体学报.2005,26(2):399-405页
    [111]Drechsler D,Becker B,Drechsler N.Genetic algorithm for minimization of fixed polarity Reed-muller expressions.IEEE Proceedings of Computers digital techniques,2000,147(5):249-353P
    [112]Zhou H,Wong D.Optimal low power XOR gate decomposition.Proceedings Of 37~(th)conference on design automation(DAC),Los Angeles,USA,2000:104-107P
    [113]Xia Y,Ali B,Almaini A E A.Area and power optimization of FPRM functions based circuits.Proceedings Of IEEE International Symposium on Circuits and Systems(ISCAS),Bangkok,Thailand,May 2003:329-332P
    [114]Xia Y,Wu X,Almaini A E A.Power minimization of FPRM functions based on polarity conversion.Journal of computer science and technology,2003,18(3):325-331P
    [115]Michael P F.Reversible Computing and Truly Adiabatic Circuits:Truly Adiabatic Circuits:The Next Great Challenge for Digital Engineering.IEEE Dallas/CAS Workshop on Design,Applications,Integration and Software,2006:31-38P
    [116] Khazamipour A, Radecka K. Adiabatic implementation of reversible logic. Proceedings of 48th Midwest Symposium on Circuits and Systems, 2005:291-294P
    [117] Kim S, Chae SI.A Bootstrapped Switch for nMOS Reversible Energy Recovery Logic for Low-Voltage applications. IEICE Trans. Electron,2006, E89-C(5): 649-652P
    [118] Lim J, Kim D G and Chae S I. Reversible Energy Recovery Logic Circuits and Its 8-Phase Clocked Power Generator for Ultra-Low-Power Applications. IEICE Trans. Electron, 1999, E82-C(4):646-653P
    [119] Samaan S B. The impact of device parameter variation on the frequency and performance of VLSI chips. Proceedings of the Intenrational Conefrenee on Computer-Aided Design (ICCAD). San Jose, 2004:343-346P
    [120] Zuchowski P S, Habitz P A, Hayes J D. Process and environment variation impacts on ASIC timing. Proceedings of the Intenrational Conefrenee on Computer-Aided Design (ICCAD). San Jose, 2004:336-342P
    [121] Ban Y C, Choi S H, Lee K H. A fast lithography verification framework for litho-friendly layout design. Proceedings of the International Symposium on Quality Electronic Design (ISQED). San Jose, 2005: 169-174P
    [122] Kim C H, Roy K, Hsu S. An on-die CMOS leakage current sensor for measuring process variation in sub-90nm generations. Proceedings of the VLSI Symposium. Honolulu, 2004:250-251P
    [123] Matsumoto T. High-resolution on-chip propagation delay detector for measuring within-chip and chip-to-chip variation. Proceedings of the VLSI Symposium. Honolulu, 2004:252-255P
    [124]Nassif S.Delay variability:Sources,impacts and trends.Proceedings of the International Solid-State Circuits Conference(ISSCC).San Francisco,2000:368-369P
    [125]杨媛,高勇,金宁梅.超深亚微米CMOS工艺参数波动的测量电路.半导体学报.2006,27(9):1686-1689页
    [126]Andrew L.Rapid method to account for process variation in full-chip capacitance extraction.IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2004,23(6):941-951P
    [127]Yuan Y H,Banerjee P.ICE:incremental 3-dimensional capacitance and resistance extraction or an iterative design environment.Proceedins of the 9~(th)Great Lakes Symposium on VLSI,Ann Arbor,1999:64-67P
    [128]张雷,王习仁,喻文健,王泽毅.工艺参数变动下的三维互连电容快速模式建库方法.计算机辅助设计与图形学学报.2006,18(12):1837-1843页
    [129]Gradshteyn I S,Ryzhik I M.Tables of Integrals,Series,and Products,7th ed.San Diego,CA:Academic Press,2007:1078-1079P
    [130]焦争鸣.关于矩阵乘积迹的两个不等式.山东师范大学学报:自然科学版.1992,7(4):19-20页
    [131]Zhao L,Ding J.A Strict Approach to Approximating Lognormal Sum Distributions.IEEE CCECE/CCGEI,Ottawa,May 2006:916-919P.
    [132]Luo Z Y.General transistor-level methodology on VLSI low-power design.Proceedings of the ACM GLSVLSI.Philadelphia,2006:115-118P
    [133]Razavi B.Design of Analog CMOS Integrated Circuits=:模拟CMOS集成电路/.北京:清华大学出版社;McGraw-Hill Education(Asia)Co.,2005:6-7页
    [134]简相超,郑君里.一种正交多项式混沌全局建模方法.电子学报.2002,30(1):76-78页
    [135]Wang J,Ghanta P,Vrudhula S.Stochastic analysis of interconnect performance in the presence of process variations.Proceedings of the Intenrational Conefrenee on Computer-Aided Design(ICCAD).2004:880-886P
    [136]李杰.随机结构系统—分析与建模.北京:科学出版社,1996:1-176页
    [137]Liang Y C,Lee H P,Lim S P,et al.Proper orthogonal decomposition and its applications,part Ⅰ:Theory.Journal of Sound and Vibration,2002,252(3):527-544P
    [138]Phoon K K,Huang H W,Quek S T.Comparison between Karhunen-Loeve and wavelet expansions for simulation of Gaussian processes.Computers & Structures,2004,82(13-14):985-991P
    [139]Srivastava A,Sylvester D,Blaauw D.Statistical optimization of leakage power considering process variations using dual-Vth and sizing.Proceedings of the 41~(st)Design Automation Conference,June 2004:773-778P

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700