用户名: 密码: 验证码:
成本驱动的一种通用三维片上网络设计
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
根据ITRS的统计,越来越昂贵的设计成本逐渐成为半导体行业发展的阻力。为了解决这个问题,复用现有的设计资源是大势所趋。大部分传统的复用形式为IP,复用的级别一般是模块级别的复用。但是,当三维堆叠技术逐渐进入量产阶段,而且成为未来的主流技术之后,不同制造工厂生产的裸晶片可以采用三维集成技术自由的组合堆叠。于是本文大胆设想,将复用推广到片的级别,让制造检测好的已知合格片在不同的三维堆叠设计中使用。
     在分析了大量现有的片上网络(NoC)的设计之后,本文发现:大部分的片上网络采用的都是相似的拓扑结构,即基于mesh的拓扑结构,而交换策略和路由方式也基本相似。这个发现为通用网络GNet的设计奠定了基础,即可以设计一种通用片上网络,它可以满足大部分片上网络设计的需求。
     本文提出了通用网络GNet。它将众多网络服务集成在一个已知合格片中,这个片称为通用网络服务片(GNSD),该片可以与各种裸晶片堆叠为三维芯片,与其它功能片上的处理单元或存储单元组成片上网络。这种采用GNSD构建片上网络的体系结构称为GNet。采用GNet的网络有低功耗、高带宽、低延迟的优点,同时是动态可配置的,可以适用于大量不同的设计需求。
     成本往往是决定一个新技术能否被推广使用的关键。为了衡量GNet体系结构的成本问题,本文在研究现有的成本模型的基础上,提出了一种涵盖了设计成本、复用成本和制造成本的综合成本模型。
     为了更好的设计通用网络服务片,本文分析了大量的众核处理器的制造成本。实验对象为8、16、24、32、64、96、128、192、256个资源的众核处理器,在分析了它们的二维芯片制造成本,和划分成2—16层的三维芯片的制造成本之后,得出结论:在一定的集成电路制造缺陷密度下,要想获得最低的制造成本,必须让三维堆叠芯片的每个片的面积都接近最优划分面积。并确定了一定的平均电子缺陷密度下的最优划分面积。基于这个结论,本文设计了四种通用网络服务片,它们采用不同的工艺制造,设计者可以根据不同的设计需求来选择合适的通用网络服务片。
     为了验证通用网络GNet的成本优势,本文对采用GNet的三维芯片和传统的三维芯片的进行了成本对比。最终得出结论,当一个众核处理器的片上网络的资源数目较小时(数目需要根据具体的工艺、资源大小等各项条件决定),二维芯片的成本低;当资源数目较多时,将该众核处理器采用三维方式来实现的成本更低。对于三维方式的两种实现方式:GNet和传统方式,采用GNet进行三维芯片设计,相比传统的三维芯片设计,设计成本将有大幅度降低,而制造成本变动不大。随着将来芯片复杂度的增加,设计成本迅速上涨,而能够减少设计成本的GNet三维片上网络体系结构会有很大的应用价值。
The expensive design cost has been becoming the greatest threat to continuation of the semiconductor roadmap according to ITRS's statistics. To circumvent this issue, reuse of the existing IC designs is the trend to reduce costs of electronic products. Most traditional reuse in ICs is in the form of IP cores. With emergence of3D IC technology in which KGDs are stacked to form an integrated circuit, a bold move that the reuse is pushed to the die level has been proposed in this work.
     After examining the existing NoC architectures, it was found that most of the NoCs have similar architectures, which inspired the concept of GNet:a3D architecture for reusing generic network service dies (GNSDs). GNet-a3D architecture for reusing generic network service dies-is herein proposed to construct a network-on-chip (NoC) by virtue of exploiting reuse of known good dies (KGDs). In GNet, generic network service dies (GNSDs) are KGDs that integrate several networks and can be directly used to bond with other dies in3D stack. Flexible and configurable design of GNet makes it suitable to requirements in various application circumstances.
     Cost is often the dominant factor for decision making on architecture selection and identifying the optimal design among various design options. A comprehensive cost model, which combines the design cost model, reuse model and fabrication model, is introduced to evaluate different architectures from the design phase to the fabrication phase.
     By using designs whose sizes vary from16resources to256resources, the correlation between the fabrication cost and the die area was established, and an approximate optimal die area under a certain defect density has been identified. Based on the optimal die area, four GNSDs in four processes are designed. Finally, the cost comparison between the GNet implementation and the general3D IC implementation has been studied. For the GNet-based designs, the design costs would drastically drop whereas the fabrication costs would not change much within small deviations by comparison with the general3D ICs. According to statistics of ITRS, the design cost is the greatest threat to continuation of the semiconductor roadmap, and always reaches tens of millions of dollars. Since the design cost will weigh more and more in the total cost, the GNet implementation would become more superior to the traditional architectures.
引文
[1]Kahng A B and Smith G. A new design cost model for the 2001 itrs[A]. in Quality Electronic Design,2002. Proceedings. International Symposium on[C]. 2002:190-193
    [2]Abousamra A, Jones A K, and Melhem R. Codesign of noc and cache organization for reducing access latency in chip multiprocessors [J]. Ieee Transactions on Parallel and Distributed Systems,2012,23(6):1038-1046
    [3]Trivino F, Sanchez J L, Alfaro F J, and Flich J. Network-on-chip virtualization in chip-multiprocessor systems [J]. Journal of Systems Architecture,2012,58(3-4): 126-139
    [4]Ye Y, Xu J, Wu X, Zhang W, Liu W, and Nikdast M. A torus-based hierarchical optical-electronic network-on-chip for multiprocessor system-on-chip [J]. ACM Journal on Emerging Technologies in Computing Systems,2012,8(1)
    [5]Dally W J and Towles B. Route packets, not wires:On-chip interconnection networks[A]. in Design Automation Conference,2001. Proceedings[C].2001: 684-689
    [6]Wu X, Sun G, Dong X, Das R, Xie Y, Das C, and Li J. Cost-driven 3d integration with interconnect layers[A]. in Design Automation Conference (DAC),2010 47th ACM/IEEE[C].2010:150-155
    [7]Li F, Nicopoulos C, Richardson T, Xie Y, Narayanan V, and Kandemir M. Design and management of 3d chip multiprocessors using network-in-memory[A]. in Computer Architecture,2006. ISCA '06.33rd International Symposium on[C].2006:130-141
    [8]Patti R S. Three-dimensional integrated circuits and the future of system-on-chip designs [J]. Proceedings of the IEEE,2006,94(6):1214-1224
    [9]Dong X, Zhao J, and Xie Y. Fabrication cost analysis and cost-aware design space exploration for 3-d ics [J]. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on,2010,29(12):1959-1972
    [10]Bansal S, Rey J C, Yang A, Jang M-S, Lu L C, Magarshack P, Pol M, and Radojcic R.3-d stacked die:Now or future?[A]. in Design Automation Conference (DAC),2010 47th ACM/IEEE[C].2010:298-299
    [11]Topol A W, et al. Enabling soi-based assembly technology for three-dimensional (3d) integrated circuits (ics) [M].2005
    [12]Thomas O, Vinet M, Rozeau O, Batude P, Valentian A, and Ieee. Compact 6t sram cell with robust read/write stabilizing design in 45nm monolithic 3d ic technology [M].2009
    [13]Song J, Welch D, Christen J B, and Ieee, A fully-adjustable dynamic range capacitance sensing circuit in a 0.15 mu m 3d soi process[A] in 2011 ieee international symposium on circuits and systems[M], ed,2011,1708-1711
    [14]Pangaud P, et al., A tezzaron-chartered 3d-ic electronic for slhc/atlas hybrid pixels detectors test results and irradiations performance[A] in 2011 ieee nuclear science symposium and medical imaging conference[M], ed,2011,682-684
    [15]Pavlidis V F and Friedman E G. Interconnect-based design methodologies for three-dimensional integrated circuits [J]. Proceedings of the IEEE,2009, Volume: 97:123-140
    [16]Davis W R, Wilson J. Mick S, Xu J, Hua H, Mineo C, Sule A M, Steer M, and Franzon P D. Demystifying 3d ics:The pros and cons of going vertical [J]. Design & Test of Computers, IEEE,2005,22(6):498-510
    [17]Fischbach R, Lienig J, and Meister T. From 3d circuit technologies and data structures to interconnect prediction[A]. in the 11th international workshop on System level interconnect prediction[C].2009
    [18]Cherkauer B S and Friedman E G. A unified design methodology for cmos tapered buffers [J]. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on,1995,3(1):99-111
    [19]Topol A W, et al. Three-dimensional integrated circuits[J]. IBM Journal of Research and Development,2006,50(4.5):491-506
    [20]Rahman A, Trezza J, New B, and Trimberger S. Die stacking technology for terabit chip-to-chip communications[A]. in Custom Integrated Circuits Conference,2006. CICC'06. IEEE[C].2006:587-590
    [21]Joyner J W, Zarkesh-Ha P, and Meindl J D. A stochastic global net-length distribution for a three-dimensional system-on-a-chip (3d-soc)[A]. in ASIC/SOC Conference,2001. Proceedings.14th Annual IEEE International[C].2001: 147-151
    [22]Zhang R, Roy K, Koh C-K, and Janes D B. Stochastic interconnect modeling, power trends, and performance characterization of 3-d circuits [J]. Electron Devices, IEEE Transactions on,2001,48(4):638-652
    [23]Nahman A, Fan A, Chung J, and Reif R. Wire-length distribution of three-dimensional integrated circuits[A]. in Interconnect Technology,1999. IEEE International Conference[C].1999:233-235
    [24][online] international technology roadmap for semiconductors, http://www.itrs.net/.2009
    [25]Haensch W. Why should we do 3d integration [J]. Design Automation Conference 2008,2008:112
    [26]Zhang Y, Jeong T, Chen F, Wu H, Nitzsche R, and Gao G R. A study of the on-chip interconnection network for the ibm cyclops64 multi-core architecture [A]. in Parallel and Distributed Processing Symposium,2006. IPDPS 2006.20th International[C].2006:10 pp.
    [27][J]. Addressing the challenges of Tera-scale Computing, Intel Corporation,2009,
    [28]Kearney D, Hilt T, and Pham P. A liquid cooling solution for temperature redistribution in 3d ic architectures [J]. Microelectronics Journal,2012,43(9): 602-610
    [29]Kearney D, Hilt T, and Pham P. A numerical hydrodynamic and thermal characterization of an inter-strata liquid cooling solution for 3d ics [J]. Microsystem Technologies-Micro-and Nanosystems-Information Storage and Processing Systems,2012,18(2):225-235
    [30]Kim N-J, Lee H-J, and Kim S. Implementation of single-wire communication protocol for 3d ic thermal management systems using a thin film thermoelectric cooler [J]. Journal of Semiconductor Technology and Science,2012,12(1): 18-23
    [31]Li Z, Ma Y, Zhou Q, Cai Y, Wang Y, Huang T, Xie Y, and Ieee, Thermal-aware power network design for ir drop reduction in 3d ics[A] in 2012 17th asia and south pacific design automation conference[M], ed,2012,47-52
    [32]Geisler K J L and Bar-Cohen A. Passive immersion cooling of 3-d stacked dies [J]. Components and Packaging Technologies, IEEE Transactions on,2009, 32(3):557-565
    [33]Kim S K and Tiwari S. Low temperature wafer-scale 3d ics:Technology and characteristics[A]. in Integrated Circuit Design and Technology,2005. ICICDT 2005.2005 International Conference on[C].2005:183-186
    [34]Koester S J, et al. Wafer-level 3d integration technology [J]. IBM Journal of Research and Development,2008,52(6):583-597
    [35]Bernstein K, et al. Interconnects in the third dimension:Design challenges for 3d ics[A]. in Design Automation Conference,2007. DAC '07.44th ACM/IEEE[C].2007:562-567
    [36]Ammari F, Cordella C B Y, Boughanmi N, and Rutledge D N. Independent components analysis applied to 3d-front-face fluorescence spectra of edible oils to study the antioxidant effect of nigella sativa 1. Extract on the thermal stability of heated oils [J]. Chemometrics and Intelligent Laboratory Systems,2012,113: 32-42
    [37]Frantz F, Labrak L, and O'Connor 1.3d ic floorplanning:Automating optimization settings and exploring new thermal-aware management techniques [J]. Microelectronics Journal,2012,43(6):423-432
    [38]Huang Y-J and Li J-F. Built-in self-repair scheme for the tsvs in 3-d ics [J]. Ieee Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2012,31(10):1600-1613
    [39]Buttrick M and Kundu S. On testing prebond dies with incomplete clock networks in a 3d ic using dlls [J]. Journal of Electronic Testing-Theory and Applications,2012,28(1):93-101
    [40]Chen Y-X, Huang Y-J, Li J-F, and Ieee, Test cost optimization technique for the pre-bond test of 3d ics[A] in 2012 ieee 30th vlsi test symposium[M], ed,2012, 102-107
    [41]Taouil M, Hamdioui S, Beenakker K, and Marinissen E J. Test impact on the overall die-to-wafer 3d stacked ic cost [J]. Journal of Electronic Testing-Theory and Applications,2012,28(1):15-25
    [42][online],international technology roadmap for semiconductors, http://www.itrs.net/.2009
    [43]Chou Y-W, Chen P-Y, Lee M, Wu C-W, and Ieee, Cost modeling and analysis for interposer-based three-dimensional ic[A] in 2012 ieee 30th vlsi test symposium[M], ed,2012,108-113
    [44]Flack W W, Ranjan M, Kenyon G, Hsieh R, Slabbekoorn J, and Miller A. Lithography challenges for leading edge 3d packaging applications [J]. Solid State Technology,2012,55(4):29-32
    [45]Jun J, Lee W, Jung J P, and Zhou Y N. Characteristics of electroplated sn bumps fabricated without a pr mould on a si chip for 3d packaging [J]. Microelectronic Engineering,2012,93:85-90
    [46]Jun J, Park J K, and Jung J P. Fabrication of electroplate sn-ag bumps without a lithography process for 3d packaging [J]. Metals and Materials International, 2012,18(3):487-491
    [47]Shuangwu M H, Pang D L W, Nathapong S, Marimuthu P, and leee. Temporary bonding of wafer to carrier for 3d-wafer level packaging [M].2008
    [48]Liu P, et al. Research progress of wafer level package [J]. Electronic Components & Materials,2012,31(1):68-72
    [49]Civale Y, et al. Die stacking using 3d-wafer level packaging copper/polymer through-si via technology and cu/sn interconnect bumping [M].2009
    [50]Soussan P, Tezcan D S, Iker F, Ruythooren W, Majeed B, Swinnen B, Ruytooren W, and Beyne E,3d wafer level packaging:Processes and materials for through-silicon vias and thin die embedding[A] in Materials and technologies for 3-d integration[M].1112, F. Roozeboom, C. Bower, P. Garrou, M. Koyanagi, P. Ramm, Eds., ed,2009,43-53
    [51]Suhard S, Claes M, Civale Y, Nolmans P, Tezcan D S, and Travaly Y, Esh friendly solvent for stripping positive and negative photoresists in 3d-wafer level packaging and 3d-stacked ic applications[A] in Ultra clean processing of semiconductor surfaces x[M].187, P. Mertens, M. Meuris, M. Heyns, Eds., ed, 2012,223-226
    [52]Tezcan D S, Duval F, Philipsen H, Luhn O, Soussan P, Swinnen B, and Ieee. Scalable through silicon via with polymer deep trench isolation for 3d wafer level packaging [M].2009
    [53]Tezcan D S, Pham N, Majeed B, De Moor P, Ruythooren W, Baert K, vzw I, and leee, Sloped through wafer vias for 3d wafer level packaging[A] in 57th electronic components & technology conference,2007 proceedings[M], ed, 2007,643-647
    [54]Charlet B, Di Cioccio L, Leduc P, and Ieee. Enabling technologies for 3d system on chip (soc) integration and examples of 3d integrated structures [M].2007
    [55]Cheng Y, Zhang L, Han Y, Liu J, Li X, and Ieee, Wrapper chain design for testing tsvs minimization in circuit-partitioned 3d soc[A] in 2011 20th asian test symposium[M], ed,2011,181-186
    [56]Del Valle P G and Atienza D. Emulation-based transient thermal modeling of 2d/3d systems-on-chip with active cooling [J]. Microelectronics Journal,2011, 42(4):564-571
    [57]Murali S, Seiculescu C, Benini L, De Micheli G, and Ieee. Synthesis of networks on chips for 3d systems on chips [M].2009
    [58]Ramm P, Klumpp A, Weber J, and Taklo M M V.3d system-on-chip technologies for more than moore systems [J]. Microsystem Technologies-Micro-and Nanosystems-Information Storage and Processing Systems,2010,16(7):1051-1055
    [59]Seiculescu C, Murali S, Benini L, De Micheli G, and Ieee, Sunfloor 3d:A tool for networks on chip topology synthesis for 3d systems on chips[A] in Date: 2009 design, automation & test in europe conference & exhibition, vols 1-3[M], ed,2009,9-14
    [60]Marinissen E J and Zorian Y. Guest editorial:Special issue on testing of 3d stacked integrated circuits [J]. Journal of Electronic Testing-Theory and Applications,2012,28(1):13-14
    [61]Milojevic A D, Radojcic B R, Carpenter C R, and Marchal D P. Pathfinding:A design methodology for fast exploration and optimisation of 3d-stacked integrated circuits [M].2009
    [62]Milojevic D. et al. Automated pathfinding tool chain for 3d-stacked integrated circuits:Practical case study [M].2009
    [63]Radisic A, Luhn O, Swinnen B, Bender H, Drijbooms C, Doumen G, Kellens K. Ruythooren W, and Vereecken P M, Cu plating of through-si vias for 3d-stacked integrated circuits[A] in Materials and technologies for 3-d integration[M].1112, F. Roozeboom, C. Bower, P. Garrou, M. Koyanagi, P. Ramm, Eds., ed,2009, 159-164
    [64]Karnik T, Somasekhar D, and Borkar S. Microprocessor system applications and challenges for through-silicon-via-based three-dimensional integration [J]. let Computers and Digital Techniques,2011,5(3):205-212
    [65]Radisic A, et al., Tsv cu plating and implications for cmp[A] in Electronics and 3-d packaging 4[M].33, E. PodlahaMurphy, Ed., ed,2011,11-21
    [66]Atthi N, et al. Increasing active surface area to fabricate ultra-hydrophobic surface by using "Black silicon" With bosch etching process [J]. Journal of Nanoscience and Nanotechnology,2012,12(6):4919-4927
    [67]Radjenovic B and Radmilovic-Radjenovic M. An approach to the three-dimensional simulations of the bosch process [J]. Journal of Materials Research,2012,27(5):793-798
    [68]Ranganathan N, Lee D Y, Youhe L, Lo G-Q, Prasad K, and Pey K L. Influence of bosch etch process on electrical isolation of tsv structures [J]. Ieee Transactions on Components Packaging and Manufacturing Technology,2011, 1(10):1497-1507
    [69]Chang H H, Shih Y C, Hsu C K, Hsiao Z C, Chiang C W, Chen Y H, Chiang K N, and Ieee. Tsv process using bottom-up cu electroplating and its reliability test [M].2008
    [70]Konidaris N. Lasers in advanced ic packaging applications [M].2007
    [71]Addae-Mensah K A, Retterer S, Opalenik S R, Thomas D, Lavrik N V, and Wikswo J P. Cryogenic etching of silicon:An alternative method for fabrication of vertical microcantilever master molds [J]. Journal of Microelectromechanical Systems,2010,19(1):64-74
    [72]Chekurov N, Grigoras K, Sainiemi L, Peltonen A, Tittonen I, and Franssila S. Dry fabrication of microdevices by the combination of focused ion beam and cryogenic deep reactive ion etching [J]. Journal of Micromechanics and Microengineering,2010,20(8)
    [73]Defforge T, Song X, Gautier G, Tillocher T, Dussart R, Kouassi S, and Tran-Van F. Scalloping removal on drie via using low concentrated alkaline solutions at low temperature [J]. Sensors and Actuators a-Physical,2011,170(1-2):114-120
    [74]Erten A, Makale M, Lu X, Fruhberger B, Kesari S, and Esener S. Streamlined cryogenic deep reactive ion etching protocol for hybrid micronozzle arrays [J]. Journal of Micromechanics and Microengineering,2011,21(10)
    [75]Malta D, et al., Characterization of thermo-mechanical stress and reliability issues for cu-filled tsvs[A] in 2011 ieee 61st electronic components and technology conference[M], ed,2011,1815-1821
    [76]Zhu J.3d-stack and tsv technology [J]. Research & Progress of Solid State Electronics,2012,32(1):73-77,94
    [77]Barbero M, Fritzsch T, Gonella L, Huegging F, Krueger H, Rothermund M, and Wermes N. A via last tsv process applied to atlas pixel detector modules:Proof of principle demonstration [J]. Journal of Instrumentation,2012,7
    [78]Taouil M and Hamdioui S. Yield improvement for 3d wafer-to-wafer stacked memories [J]. Journal of Electronic Testing-Theory and Applications,2012, 28(4):523-534
    [79]Choi W K, Premachandran C S, Xie L, Ong S C, He J H, Yap G J, Yu A, and leee, A novel die to wafer (d2w) collective bonding method for mems and electronics heterogeneous 3d integration[A] in 2010 proceedings 60th electronic components and technology conference[M], ed,2010,829-833
    [80]Bowman K A, Alameldeen A R, Srinivasan S T, and Wilkerson C B. Impact of die-to-die and within-die parameter variations on the clock frequency and throughput of multi-core processors [J]. leee Transactions on Very Large Scale Integration (Vlsi) Systems,2009,17(12):1679-1690
    [81]Mostafa H, Anis M, and Elmasry M. A novel low area overhead direct adaptive body bias (d-abb) circuit for die-to-die and within-die variations compensation [J]. Ieee Transactions on Very Large Scale Integration (Vlsi) Systems,2011, 19(10):1848-1860
    [82]Mostafa H, Anis M H, and Elmasry M. Analytical soft error models accounting for die-to-die and within-die variations in sub-threshold sram cells [J]. leee Transactions on Very Large Scale Integration (Vlsi) Systems,2011,19(2): 182-195
    [83]Chen J-C, et al. Effects of slurry in cu chemical mechanical polishing (cmp) of tsvs for 3-d ic integration [J]. leee Transactions on Components Packaging and Manufacturing Technology,2012,2(6):956-963
    [84]Diehl D, et al. Formation of tsv for the stacking of advanced logic devices utilizing bumpless wafer-on-wafer technology [J]. Microelectronic Engineering, 2012,92:3-8
    [85]Hsieh A-C and Hwang T. Tsv redundancy:Architecture and design issues in 3-d ic [J]. Ieee Transactions on Very Large Scale Integration (Vlsi) Systems,2012, 20(4):711-722
    [86]Jung M, Mitra J, Pan D Z, and Lim S K. Tsv stress-aware full-chip mechanical reliability analysis and optimization for 3-d ic [J]. Ieee Transactions on Computer-Aided Design of Integrated Circuits and Systems,2012,31(8): 1194-1207
    [87]Qian L, Zhu Z, and Yang Y. Through-silicon-via insertion for performance optimization in three-dimensional integrated circuits [J]. Microelectronics Journal,2012,43(2):128-133
    [88]De Moor P, Ruythooren W, Soussan P, Swinnen B, Baert K, Van Hoof C, and Beyne E, Recent advances in 3d integration at imec[A] in Enabling technologies for 3-d integration[M].970, C. A. Bower, P. E. Garrou, P. Ramm, K. Takahashi, Eds., ed,2007,3-12
    [89]Mathis H M, Mericas A E, McCalpin J D, Eickemeyer R J, and Kunkel S R. Characterization of simultaneous multithreading (smt) efficiency in power5 [J]. IBM Journal of Research and Development,2005,49(4-5):555-564
    [90]Sinharoy B, Kalla R N, Tcndler J M, Eickemeyer R J, and Joyner J B. Power5 system microarchitecture [J]. IBM Journal of Research and Development,2005, 49(4-5):505-521
    [91]Victor D W, et al. Functional verification of the power5 microprocessor and power5 multiprocessor systems [J]. IBM Journal of Research and Development, 2005,49(4-5):541-553
    [92]Schubert K D, et al. Functional verification of the ibm power7 microprocessor and power7 multiprocessor systems [J]. IBM Journal of Research and Development,2011,55(3)
    [93]Simon T A, Ward W A, Jr., and Boss A P. Performance analysis of intel multiprocessors using astrophysics simulations [J]. Concurrency and Computation-Practice & Experience,2012,24(2):155-166
    [94]Dellinger M, Garyali P, Ravindran B, and Acm; Ieee E, Chronos linux:A best-effort real-time multiprocessor linux kernel[A] in Proceedings of the 48th acm/edac/ieee design automation conference[M], ed,2011,474-479
    [95]Luo Z, Zhao G, Gordon J A, and Tan S X D. Localized relaxation theory of circuits and its applications in electro-thermal analyses [J]. Science China-Information Sciences,2012,55(4):938-950
    [96]Mak W-K and Chu C. Rethinking the wirelength benefit of 3-d integration [J]. Ieee Transactions on Very Large Scale Integration (Vlsi) Systems,2012,20(12): 2346-2351
    [97]Li Y, Abousamra A, Melhem R, and Jones A K. Compiler-assisted data distribution and network configuration for chip multiprocessors [J]. Ieee Transactions on Parallel and Distributed Systems,2012,23(11):2058-2066
    [98]Wang X, Ma K, and Wang Y. Cache latency control for application fairness or differentiation in power-constrained chip multiprocessors [J]. Ieee Transactions on Computers,2012,61(10):1371-1385
    [99]Elliott G A and Anderson J H. Globally scheduled real-time multiprocessor systems with gpus [J]. Real-Time Systems,2012,48(1):34-74
    [100]Yu Y, Pang J, Shan Z, Liu X,庞建民,单征,and刘晓楠Thread mapping model from cuda to heterogeneous many-core architecture [J]. Computer Engineering,2012,38(9):282-284,287
    [101]Chen T P and Chen Y-K. Challenges and opportunities of obtaining performance from multi-core cpus and many-core gpus[A]. in Acoustics, Speech and Signal Processing,2009. ICASSP 2009. IEEE International Conference on[C].2009: 613-616
    [102]Yu Z and Baas B. Implementing tile-based chip multiprocessors with gals clocking styles[A]. in Computer Design,2006. ICCD 2006. International Conference on[C].2006:174-179
    [103]Banerjee K, Souri S J, Kapur P, and Saraswat K C.3-d ics:A novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration [J]. Proceedings of the IEEE,2001,89(5):602-633
    [104]Zhang X and Louri A. A multilayer nanophotonic interconnection network for on-chip many-core communications[A]. in Design Automation Conference (DAC),2010 47th ACM/IEEE[C].2010:156-161
    [105]Kgil T, D'Souza S, Saidi A, Binkert N, Dreslinski R, Reinhardt S, Flautner K, and Mudge T. Picoserver:Using 3d stacking technology to enable a compact energy efficient chip multiprocessor[A]. in ASPLOS[C].2006
    [106]Richard A, Milojevic D, Robert F, Bartzas A, Papanikolaou A, Siozios K, and Soudris D. Fast design space exploration environment applied on noc's for 3d-stacked mpsoc's [J]. Architecture of Computing Systems (ARCS),2010 23rd International Conference on,2010:1-6
    [107]Bautista J. Tera-scale computing and interconnect challenges[A]. in Design Automation Conference,2008. DAC 2008.45th ACM/IEEE[C].2008:665-667
    [108]Liu Y, Zhao M, and赵敏Design and implementation of profibus intelligent bridge based on heterogeneous multiprocessor system [J]. Computer Measurement & Control,2005,13(3):284-286
    [109]Li Q, Liu Y, Zhang G, and Jing B. Design and implementation of profibus intelligent bridge based on a heterogeneous multiprocessor system [M].2007
    [110]De Micheli G, Seiculescu C, Murali S, Benini L, Angiolini F, and Pullini A. Networks on chips:From research to products[A]. in Design Automation Conference (DAC),2010 47th ACM/IEEE[C].2010:300-305
    [111]Dall'Osso M, Biccari G, Giovannini L, Bertozzi D, and Benini L. Xpipes:A latency insensitive parameterized network-on-chip architecture for multiprocessor socs[A]. in Computer Design,2003. Proceedings.21st International Conference on[C].2003:536-539
    [112]Mehdi M, Hamid S-A, and Arash T. An efficient dynamically reconfigurable on-chip network architecture[A]. in Design Automation Conference (DAC), 2010 47th ACM/IEEE[C].2010:166-169
    [113]Alam S M, Jones R E, Pozder S, Chatterjee R, Rauf S, and Jain A. Interstratum connection design considerations for cost-effective 3-d system integration [J]. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on,2010, 18(3):450-460
    [114]Guerrier P and Greiner A. A generic architecture for on-chip packet-switched interconnections[A]. in Design, Automation and Test in Europe Conference and Exhibition 2000. Proceedings[C].2000:250-256
    [115]Abd El Ghany M A, El-Moursy M A, Korzec D, and Ismail M. High throughput architecture for octagon network on chip[A]. in Electronics, Circuits, and Systems,2009. ICECS 2009.16th IEEE International Conference on[C].2009: 101-104
    [116]Karim F, Nguyen A, and Dey S. An interconnect architecture for networking systems on chips [J]. Micro, IEEE,2002,22(5):36-45
    [117]Saastamoinen I, Siguenza-Tortosa D, and Nurmi J. Interconnect ip node for future system-on-chip designs[A]. in Electronic Design, Test and Applications, 2002. Proceedings. The First IEEE International Workshop on[C].2002: 116-120
    [118]Wiklund D and Dake L. Socbus:Switched network on chip for hard real time embedded systems[A]. in Parallel and Distributed Processing Symposium,2003. Proceedings. International[C].2003:8 pp.
    [119]Zeferino C A and Susin A A. Socin:A parametric and scalable network-on-chip[A]. in Integrated Circuits and Systems Design,2003. SBCCI 2003. Proceedings.16th Symposium on[C].2003:169-174
    [120]Bolotin E, Cidon I, Ginosar R, and Kolodny A. Qnoc:Qos architecture and design process for network on chip [J]. Journal of Systems Architecture,2003,
    [121]Moraes F G, Calazans N, Mello A, Moller L, and Ost L. Hermes:An infrastructure for low area overhead packet-switching networks on chip [M]. FACULDADE DE INFORMATICA PUCRS,2003
    [122]Gratz P, Changkyu K, McDonald R, Keckler S W, and Burger D. Implementation and evaluation of on-chip network architectures [A]. in Computer Design,2006. ICCD 2006. International Conference on[C].2006: 477-484
    [123]Jian L, Laffely A, Srinivasan S, and Tessier R. An architecture and compiler for scalable on-chip communication [J]. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on,2004,12(7):711-726
    [124]Millberg M, Nilsson E, Thid R, Kumar S, and Jantsch A. The nostrum backbone-a communication protocol stack for networks on chip[A]. in VLSI Design,2004. Proceedings.17th International Conference on[C].2004:693-696
    [125]Goossens K, Dielissen J, and Radulescu A. Aethereal network on chip:Concepts, architectures, and implementations [J]. Design & Test of Computers, IEEE,2005, 22(5):414-421
    [126]Bjerregaard T and Sparso J. A router architecture for connection-oriented service guarantees in the mango clockless network-on-chip[A]. in Design, Automation and Test in Europe,2005. Proceedings[C].2005:1226-1231 Vol.2
    [127]Kangmin L, Se-Joong L, and Hoi-Jun Y. Low-power network-on-chip for high-performance soc design [J]. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on,2006,14(2):148-160
    [128]Vangal S, et al. An 80-tile 1.28tflops network-on-chip in 65nm cmos[A]. in Solid-State Circuits Conference,2007. ISSCC 2007. Digest of Technical Papers. IEEE International[C].2007:98-589
    [129][J]. White Paper From a Few Cores to Many:A Tera-scale Computing Research Overview, Intel Corporation,2009,
    [130][J]. TILEPro64 Processor Product Brief, Tilera Corporation,2010, Available from:http://www.tilera.com/products/processors.php
    [131]Gao X, Chen Y, Wang H, and Tang D. System architecture of godson-3 multi-core processors [J]. Journal of Computer Science and Technology,2010, 25(2):181-191
    [132]Salihundam P, Jain S, Jacob T, Kumar S, Erraguntla V, Hoskote Y, Vangal S, Ruhl G, and Borkar N. A 2 tb/s 6×4 mesh network for a single-chip cloud computer with dvfs in 45 nm cmos [J]. Solid-State Circuits, IEEE Journal of, 2011,46(4):757-766
    [133]Howard J, et al. A 48-core ia-32 processor in 45 nm cmos using on-die message-passing and dvfs for performance and power scaling [J]. Solid-State Circuits, IEEE Journal of,2011,46(1):173-183
    [134]Benini L and De G. Networks on chips:A new soc paradigm [J]. Computer,2002: 70-78
    [135]Magarshack P and Paulin P G. System-on-chip beyond the nanometer wall [A]. in Proc.40th Design Automation Conf.[C].2003:419-424
    [136]Horowitz. The future of wires [J]. Proc. IEEE,2001:490-504
    [137]Jiajia J, Yuzhuo F, Ting L, Han W, Xing H, and Jiafang W. Performance analysis and optimization for homogenous multi-core system based on 3d torus network on chip[A]. in NEWCAS Conference (NEWCAS),2010 8th IEEE International[C].2010:313-316
    [138]Grecu C and Pande P P. Timing analysis of network on chip architectures for mp-soc platforms [J]. Microelectronics J.,2005:833-845
    [139]Lee H G. On-chip communication architecture exploration:A quantitative evaluation of point-to-point, bus, and network-on-chip approaches, [J]. ACM Trans. Design Automation of Electronic Systems,2007:1-20
    [140]Deng Y.2.5d system integration:A design driven system implementation schema[A]. in Proc. Asia and South Pacific Design Automation Conf. (ASP-DAC)[C].2004
    [141]Ieong M. Three dimensional cmos devices and integrated circuits[A]. in Proc. IEEE Custom Integrated Circuits Conf.[C].2003
    [142]Li D, Liu Q, and刘琪.Research on mapping 3d network on chip for communication energy-aware [J]. Semiconductor Technology,2012,37(7): 504-507
    [143]Yiou C, Jianhao H, and Xiang L. De bruijn graph based 3d network on chip architecture design[A]. in Communications, Circuits and Systems,2009. ICCCAS 2009. International Conference on[C].2009:986-990
    [144]Jacob T. Predicting the performance of a 3d processor-memory stack [J]. IEEE Design and Test of Computers,2005,
    [145]Feero B S and Pande P P. Networks-on-chip in a three-dimensional environment: A performance evaluation [J]. Computers, IEEE Transactions on,2009,58(1): 32-45
    [146]Zia A, Kannan S, Rose G, and Chao H J. Highly-scalable 3d clos noc for many-core cmps[A]. in NEWCAS Conference (NEWCAS),2010 8th IEEE International[C].2010:229-232
    [147]Loh G H.3d-stacked memory architectures for multi-core processors[A]. in Computer Architecture,2008. ISCA'08.35th International Symposium on[C]. 2008:453-464
    [148]Zia A, Kannan S, Chao H J, and Rose G S.3d noc for many-core processors [J]. Microelectronics Journal,2011,42(12):1380-1390
    [149]Li D, Gao M, and高明伦High-density integration and single-chip multi-core systems and research progresses [J]. Semiconductor Technology,2012,37(2): 89-95
    [150]Chien J-H, Lung C-L, Hsu C-C, Chou Y-F, and Kwai D-M. Floorplanning 1024 cores in a 3d-stacked networkon- chip with thermal-aware redistribution[A]. in Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), 2010 12th IEEE Intersociety Conference on[C].2010:1-6
    [151]Addo-Quaye C. Thermal-aware mapping and placement for 3d noc designs[A]. in Proc. IEEE System on Chip Conf. [C].2005
    [152]Pavlidis V F and Friedman E G.3-d topologies for networks-on-chip [J]. IEEE Trans. Very Large Scale Integration,2007,
    [153]Liu Q, Li D, and李东生Communication energy-aware research of star cluster noc [J]. Application Research of Computers,2012,29(6):2176-2179
    [154]Chen Y, Hu J, Ling X,胡剑浩,and凌翔3d network on chip design based on de bruijn graph architecture [J]. Journal of University of Electronic Science and Technology of China,2011,40(2):204-209
    [155]Liu C, Zhang L, Han Y, Li X, and Ieee. Vertical interconnects squeezing in symmetric 3d mesh network-on-chip [M].2011
    [156]Zhang Y, Lin S, Su L, Jin D, Zeng L,林世俊,苏厉,金德鹏,and曾烈光.Performance evaluation of torus and mesh in 3d network-on-chip [J]. Journal of Tsinghua University. Science and Technology,2011,51(12):1777-1781
    [157]Rahmani A-M, Latif K, Vaddina K R, Liljeberg P, Plosila J, Tenhunen H, and Ieee, Arb-net:A novel adaptive monitoring platform for stacked mesh 3d noc architectures [A] in 2012 17th asia and south pacific design automation conference[M], ed,2012,413-418
    [158]Chou S-H, Chen C-C, Wen C-N, Chan Y-C, Chen T-F, Wang C-C, Wang J-S, and Ieee, No cache-coherence:A single-cycle ring interconnection for multi-core 11-nuca sharing on 3d chips[A] in Dac:2009 46th acm/ieee design automation conference, vols 1 and 2[M], ed,2009,587-592
    [159]Margono J and Rhoads T E. Software reuse economics:Cost-benefit analysis on a large-scale ada project[A]. in Software Engineering,1992. International Conference on[C].1992:338-348
    [160]Tomer A, Goldin L, Kuflik T, Kimchi E, and Schach S R. Evaluating software reuse alternatives:A model and its application to an industrial case study [J]. Software Engineering, IEEE Transactions on,2004,30(9):601-612
    [161]Gautam N, Chinnam R B, and Singh N. Design reuse framework:A perspective for lean development [J]. Int. J. Product Development,2007,4(5):485-507
    [162]Zhao J, Dong X, and Xie Y Cost-aware three-dimensional (3d) many-core multiprocessor design[A]. in Design Automation Conference (DAC),2010 47th ACM/IEEE[C].2010:126-131
    [163]Weerasekera R, Pamunuwa D, Zheng L-R, and Tenhunen H. Two-dimensional and three-dimensional integration of heterogeneous electronic systems under cost, performance, and technological constraints [J]. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on,2009,28(8):1237-1250
    [164]Ferri C, Reda S, and Bahar R I. Parametric yield management for 3d ics:Models and strategies for improvement [J]. ACM Journal on Emerging Technologies in Computing Systems,2008,
    [165]George A G, Krusius J P, and Granitz R F. Packaging alternatives to large silicon chips:Tiled silicon on mcm and pwb substrates [J]. Components, Packaging, and Manufacturing Technology, Part B:Advanced Packaging, IEEE Transactions on,1996,19(4):699-708
    [166]Chen Y, Niu D, Xie Y, and Chakrabarty K. Cost-effective integration of three-dimensional (3d) ics emphasizing testing cost analysis[A]. in Computer-Aided Design (ICCAD),2010 IEEE/ACM International Conference on[C].2010:471-476
    [167]Chang C-J, Huang P-J, Chen T-C, and Liu C N J. Ilp-based inter-die routing for 3d ics[A]. in Design Automation Conference (ASP-DAC),2011 16th Asia and South Pacific[C].2011:330-335
    [168]Chagoya-Garzon A, Guerin X, Rousseau F, Petrot F, Rossetti D, Lonardo A, Vicini P, and Paolucci P S, Synthesis of communication mechanisms for multi-tile systems based on heterogeneous multi-processor system-on-chips[A] in Rsp 2009:Twentieth ieee/ifip international symposium on rapid system prototyping, proceedings[M], S. Ceballos, Ed., ed,2009,48-54
    [169]Fensch C and Cintra M. An evaluation of an os-based coherence scheme for tiled cmps [J]. International Journal of Parallel Programming,2011,39(3): 271-295
    [170]Liu J, Zhang Y, Ding W, Kandemir M, and Ieee, On-chip cache hierarchy-aware tile scheduling for multicore machines[A] in 2011 9th annual ieee/acm international symposium on code generation and optimization[M], ed,2011, 161-170
    [171]Sanchez D, Aragon J L, and Garcia J M. A fault-tolerant architecture for parallel applications in tiled-cmps [J]. Journal of Supercomputing,2012,61(3): 997-1023
    [172]Zhang X, Wang D, Xue Y, Wang H, and Wang J, A novel cache organization for tiled chip multiprocessor[A] in Advanced parallel processing technologies, proceedings[M].5737, Y. Dou, R. Gruber, J. M. Joller, Eds., ed,2009,41-53
    [173]Lafi W, Lattard D, and Jerrya A. An asynchronous hierarchical router for networks-on-chip-based three-dimensional multi-processor system-on-chip [J]. Software-Practice & Experience,2012,42(7):877-890
    [174]Feng C, Lu Z, Jantsch A, and Zhang M. A 1-cycle 1.25 ghz bufferless router for 3d network-on-chip [J]. Ieice Transactions on Information and Systems,2012, E95D(5):1519-1522
    [175]Cordasco G, De Chiara R, and Rosenberg A L. On scheduling dags for volatile computing platforms:Area-maximizing schedules [J]. Journal of Parallel and Distributed Computing,2012,72(10):1347-1360
    [176]de Oliveira D, Ogasawara E, Ocana K, Baiao F, and Mattoso M. An adaptive parallel execution strategy for cloud-based scientific workflows [J]. Concurrency and Computation-Practice & Experience,2012,24(13):1531-1550
    [177]Li J, Rong Y, and Qiang X. Yield enhancement for 3d-stacked memory by redundancy sharing across dies[A]. in Computer-Aided Design (ICCAD),2010 IEEE/ACM International Conference on[C].2010:230-234
    [178]Taylor M B, et al. The raw microprocessor:A computational fabric for software circuits and general-purpose programs [J]. Micro, IEEE,2002,22(2):25-35
    [179]Choi I, Zhao M, Yang X, and Yeung D. Experience with improving distributed shared cache performance on tilera's tile processor [J]. Ieee Computer Architecture Letters,2011,10(2):45-48
    [180]Hung Y-F, Tseng S-Y, King C-T, Liu H-Y, Huang S-C, and Ieee. Parallel implementation and performance prediction of object detection in videos on the tilera many-core systems [M].2009
    [181]Yan C, Dai F, and Zhang Y, Parallel deblocking filter for h.264/avc on the tilera many-core systems[A] in Advances in multimedia modeling, pt i[M].6523, K. T. Lee等.,Eds., ed,2011,51-61
    [182]Bao E, Li W, Fan D, Yang Y, Ma X,李伟生,范东睿,杨扬,and马啸宇.Anoptimization of broadcast on godson-t many-core system architecture [J]. Journal of Computer Research and Development,2010,47(3):524-531
    [183]Fan D, Zhang H, Wang D, Ye X, Song F, Li G, and Sun N. Godson-t:An efficient many-core processor exploring thread-level parallelism [J]. Ieee Micro, 2012,32(2):38-47
    [184]Wang D, Fan D, and Hu Y, A case study:Low power design-for-testability features of a multi-core processor godson-t[A] in Advanced measurement and test, pts 1-3[M].301-303, R. Esa, Y. W. Wu, Eds., ed,2011,1237-1242
    [185]Kim D H, Athikulwongse K, and Lim S K. A study of through-silicon-via impact on the 3d stacked ic layout[A]. in Computer-Aided Design-Digest of Technical Papers,2009. ICCAD 2009. IEEE/ACM International Conference on[C].2009: 674-680
    [186]Pathak M, Lee Y-J, Moon T, and Lim S K. Through-silicon-via management during 3d physical design:When to add and how many?[A]. in Computer-Aided Design (ICCAD),2010 IEEE/ACM International Conference on[C].2010: 387-394

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700