用户名: 密码: 验证码:
铝基硅氧化物陶瓷膜层制备、性能及机理研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
金属基陶瓷涂层既有金属的韧性、强度、导电性等性能,又有陶瓷材料高硬度、高强度、耐磨、耐高温、耐腐蚀等优点,在航天、航空、电力、电子等工业中得到广泛应用。大量研究表明硅氧化合物陶瓷膜层具有特殊的光学、电学、力学等性能,广泛应用于光电功能器件、集成电路、高阻隔材料等领域。通过CVD技术制备金属铝基硅氧化物陶瓷膜层,是一个尚未进行研究的领域,技术瓶颈在于常规CVD技术的工艺温度高于铝的熔点。本论文研究开发低温常压化学气相沉积(APCVD)技术,在铝及其合金基底上成功制备硅氧化物陶瓷薄膜;采用多种检测技术对表面结构性能进行表征;探讨了薄膜的形核机理,对经典形核理论进行修正,提出了形核模型。
     通过对基底预处理以及工艺参数的研究,系统考察薄膜生长组织和表面形貌的影响因素,沉积温度对薄膜厚度的影响呈单峰状,最佳沉积温度为400℃;最佳沉积气体流量为硅烷稀释气流量0.2L/min,空气0.3L/min;载气(氮气)流量同薄膜的生长速率呈线性关系,最佳流量为2L/min;薄膜厚度变化随沉积时间增加线性增大;薄膜SEM表面形貌由大量的球状或等轴状硅氧化物颗粒镶嵌堆垛而成,颗粒间存在间隙;随着沉积时间的增加,颗粒发生融合长大,间隙体积减少。
     沉积薄膜后续退火有助于薄膜表面发育完整,减少孔隙,使硅氧化物球状颗粒发生融合粗化长大;退火温度越高,保温时间越长,薄膜颗粒融合长大越充分。退火可使薄膜中发生铝、硅、氧原子互扩散,改变薄膜结构,增强了薄膜与铝基的结合力。
     铝硅氧化物薄膜在反应温度下能够自发进行沉积生长。薄膜同基底结合部位原子互扩散过程中能够形成稳定的Al-O-Si复杂晶体结构,促进表面形核和长大;薄膜形核率以及生长速率同气压、温度、界面能、界面接触角、沉积表面扩散激活能、气相反应形核能垒、表面解附能等有关。由于APCVD技术气压高,温度低,气相反应能垒小,沉积形核率高,因此薄膜呈现非晶态具有各种缺陷以及悬挂键。
     硅氧化物薄膜表层O/Si原子比稳定在2.2—2.4之间,证明该结构中的氧除了与硅键合外,还以OH基团存在,形成Si-OH结构;该表层O/Si原子比与沉积时间没有直接关系。薄膜存在成分过渡层,有铝、硅、氧原子的互扩散现象;铝和硅原子通过氧原子形成桥连的Al-O-Si键合结构,局部区域组成复杂类尖晶石结构,也对薄膜同基底的紧密结合起重要作用;铝基硅氧化物薄膜大部分为非晶态结构,表层局部区域还发现晶态的SiO_2结构生成。
     铝基硅氧化物薄膜具有胞状组织形貌,胞状组织具有交叠层片亚结构。其生长机理是:硅烷和氧气在铝基表面反应生成硅氧化物微粒,与铝基表面的新鲜氧化铝结合成为成膜核心;后续的气源分子依附形核点继续反应,使初生晶核发育长大,形成的岛状硅氧化物分子团,在三维竞争生长过程中,因硅氧化物与铝基表面的新鲜氧化铝键合力较强,两者“浸润”性能较好,XY方向上生长速度大于Z方向,岛状单元的长大形成亚结构层片,相互接触,构成胞状组织的底层;这种形核—长大—融合的层片生长过程反复进行,构成具有层片式亚结构的胞状组织。
     铝基硅氧化物薄膜的亚结构层片由Si-O-Si无规网络环状结构组成,还包含硅悬挂键、Si-Si共价键以及Si-OH键合结构,产生原因是Si-O-Si键合中的桥氧产生空位,形成硅悬挂键结构;部分硅悬挂键通过相互键合形成“≡Si—Si≡”共价键结构,部分通过获取气相中OH集团和氢原子形成Si-OH结构及Si-H结构。
     研究并分析了铝基硅氧化物薄膜性能及机理。划痕实验载荷达到80N,切应力达到1.24GPa时,薄膜仍未发生剥落,这种良好的结合力依靠氧同铝硅的强烈键合作用和铝硅氧原子发生互扩散。
     铝基硅氧化物薄膜能有效提高样品表面硬度,但由于薄膜表面存在孔隙,且硅氧化物薄膜表面容易在外力作用发生坍塌挤压;随着载荷压力的增大,薄膜将随基底一起发生塑性形变。硅氧化物薄膜能有效提高铝及铝合金表面的耐磨性,磨损机理是对磨时,薄膜表面发生坍塌挤压,形成细小的硅氧化物陶瓷碎片或粉末充当磨粒,形成磨粒磨损;磨损量的变化具有线性变化规律。
     铝基硅氧化物陶瓷薄膜在紫外光到红外光波段具有很好的光吸收性能,反射率均低于30%;吸收光的原因是由于薄膜由大量硅氧化物颗粒堆跺而成,颗粒间存在孔隙,光线进入内部空洞后通过不断反射,延长了光线的传播距离,消耗一部分能量;非晶态薄膜内部存在大量不同类型缺陷,悬挂键、氧空位等结构缺陷都会吸收不同波长的光,显著降低薄膜的光学反射率。以激光作为激发光源,薄膜呈现大范围波段的光致发光,发光机理是薄膜中大量的缺陷结构在激光激发下产生电子跃迁发光,呈白色荧光;铝合金基底的硅氧化物薄膜发光强度要高于纯铝基底,原因是铝合金基底含有其他元素成分,在制备薄膜的过程中扩散到薄膜内部,引起薄膜内部缺陷结构的变化。
     铝基硅氧化物薄膜表面具有良好的聚乙烯热喷涂工艺性,由于硅氧化物薄膜表面孔隙率较高,部分粘流态的聚乙烯进入到薄膜孔洞中,增大了聚乙烯薄膜同硅氧化物薄膜的附着力。
Ceramic film coated on metallic substrate, widely used in aerospace, aviation, electronics and electrics, has high toughness, intensity and conductibility of the metal and high hardness, good wear resistance, heat resistance and corrosion resistance of the ceramic. The silicon rich oxide (SRO) has good properties of hardness, insulation, thermal conduction, etc; and many studies of it have been carried out on optical, electronics, mechanics and engineering performances. This accommodate the SRO a widely use in photo electricity apparatus, integrate circuit, barrier materials, etc. Having many potential applications, this research have not been widely studied with a technical difficulty.
     In this dissertation, a new kind of SRO film is deposited on Al and Al alloy substrate by low temperature APCVD approach. The pretreatment and the process parameters are studied. The results show that, with the increase of temperature, there is an optimal point at about 400°C. The flux rate of the diluted silane and air are fixed at 0.2 L/min and 0.3 L/min optimally. The growth rate of the SRO film increases with the flux rate of nitrogen carrier, which is fixed at 2 L/min. The thickness of the film increases with the deposition time increasing. SEM results demonstrate the various morphologies of the SRO film in different conditions. The film surface is stacked and packed up by a number of SRO cell units with some gaps. The growth process is supposed to be followed: After silane and oxygen chemical reacted and nucleated on the surface, the nascent nucleus grow up and present a spherical or equaxial shape cell packed on the surface. The cells press each other and stack up with some gaps. With the increase of deposition time or the proceeding of anneal, the cells coalescence and grow up with a contraction of the gaps.
     The SRO film cured and developed after the anneal process has been investigated in this dissertation. SRO cell units grow up and coalescence in anneal process, formed in chain-like or layer-like morphology. With the increase of anneal temperature and heat preservation time, much more cell units coalescence and grow up. Besides, due to the Al, Si and 0 atoms inter diffusion between the SRO film and the substrate, the film thickness increases in the anneal process.
     Thermal dynamics and kinetics analysis shows that: the APCVD reaction of the SRO film is spontaneous under the optimal process condition; the Al-O-Si complicated crystalline structure is formed by the inter-diffusion of the Al, Si, 0 atoms. The velocity of nucleation and film growth is dependent of pressure, temperature, interfacial energy, interfacial contact angel, diffusional activation energy of the deposited surface, nucleation energy barrier of the vapor reaction, surface desorption energy, etc. Because the atmospheric pressure is higher than usual CVD and the nucleation energy barrier of the vapor reaction is low, the reaction in this experiment is very acute. This leads to a high nucleation rate and a non-crystalline microstructure. Besides, high reaction rate increases the Si dangling bonds and the density of defects in SRO film.
     XPS results show that the O/Si ratio of the different region in the SRO film stabilized at 2.2 - 2.4. It is indicated that there are many Si-OH bonds in the film and the composition does nothing with the deposition time. There are much more Si-OH bonds in the near surface region than in the interior, because of the saturation of the OH in vapor phase and absent of the dehydration inside the film. The results also show that there is a widely transition layer between the SRO film and the substrate, indicated the inter-diffusion of the Al atoms and Si, 0 atoms. The Al and Si atoms supposed to be formed an Al-O-Si bonding structure and the 0 atoms play a role of bridge oxygen. A complicated spinel like crystalline structure is formed in some oxygen rich region and shows a great bonding ability to the substrate. XRD results show that a crystalline SiO_2 microstructure is also appeared in some oxygen rich region with the absent of Al atoms.
     The TEM, TED and HRTEM results show that the SRO film is not totally non-crystalline but with a little crystalline structure in some oxygen rich region. This is from the beginning of the film growth; the surface of the substrate is coated with a layer of alumina and offers an oxygen rich environment; so in the nascent SRO film, the silicon dangling bonds are very easy to be saturated by the oxygen in the alumina and some of them grow up in crystalline structure with atoms migration or diffusion. The experiments prove that the formation of the crystalline structure is independent of the deposition time.
     TEM results show that the full growth process supposed to be a series of nucleation and growth: After silane and oxygen reacted on the surface, the nucleus grow up with the continuously supply of feed gas and formed an island-like micro structure; Because of the strong bonding between the SRO, the wetting ability of the interface is enhanced and bring out a result of that the growth rate of X-Y direction is faster than that of Z direction; The island-like micro structures develop and construct a laminar structure when they contact. With the repeat of the nucleation, growth and coalescence, the laminar structures stack up and show a SEM morphology of SRO cells.
     PL and IR results show that, the microstructure of SRO film is constructed of many Si-O-Si irregular ring nets with a lot of Si dangling bonds, Si-Si bonds and Si-OH bonds in it. Because of the bridge oxygen vacancies in the Si-O-Si bond, the Si dangling bonds are formed; some neighbors of them connect and form Si-Si bonds; a few of them form Si-OH bonds or Si-H bonds by saturated of the OH group or H atoms in the vapor phase. The PL results show that the impurities in the SRO film increase the density of the defects. This consequence can induce the photoluminescence intensity. The results also show that the film microstructure is more complicated with the increase of deposition time.
     In this dissertation, the bonding property, mechanics, wear and optical absorption performances of the SRO film deposited on Al or Al alloy substrates are studied, respectively. The nick test shows that the SRO film is still not scaled out of the substrate in the condition of an 80 N load and a 1.24 GPa shear stress. It is definitely that this good bonding performance bases on the strong bonding ability between Al-O and Si-O. The micro Vicker's hardness measurement and the impress observation shows that the hardness of Al and Al alloy is obviously increased by deposited a coating of SRO film on it. Due to the brittle and porous property, the SRO film is very easy to be collapsed and fragmentized. This results in a irregular shape of the impress. With the increase of the load, the SRO film is plastic deformed with the substrate deformation. The results of wear experiment show that the wear resistant property of the Al or Al alloy is effectively enhanced by deposited a coating of SRO film. The wear mechanism indicates that the SRO cell units are easy to be collapsed and press to fill the gaps. The tiny granules formed in this process play a role of abrasive grains and wear the substrate. The wear loss increases linearly with the increase of wear time and the wear rate decreases with the increase of deposition time.
     UV-VIS and IR reflection results show that the SRO film deposited on Al or Al alloy present an excellent optical absorption in the wave length scale from ultraviolet to middle infrared. The reflection rates are basically below 30%. The reasons of the absorption are: Firstly, the ray is scattered reflected because of the surface fluctuation; Secondly, the gaps among the packed SRO cell units; Then, the energy of the ray is consumed due to the increase of transmission distance; Besides, much ray of different wave length is absorbed due to the dangling bonds, oxygen vacancies and other defects. PL results show that the SRO film is photo luminescent in large scale under laser activation. The mechanism is the transition of electrons in defects under laser activation. The results also show that the photo luminescent intensity of Al alloy is great than that of Al, because there are much more defects formed in the SRO film due to the diffusion of other element.
     A heat spray process of PE has also been carried out after SRO film deposition. The results show that the sticky PE enter the gaps among the SRO cell units and consequently increases the adhesion of the PE coatings to the SRO film.
引文
[1]B.Kaouache,P.Gergaud,O.Thomas,O.Bostrom,M.Legros,Impact of thermal cycling on the evolution of grain,precipitate and dislocation structure in Al,0.5%Cu,1%Si thin films.Microelectronic Engineering,2003,70(2-4):447-454
    [2]S.K.Dubey,A.D.Yadav,XRD,ESCA and C-V investigations of Al_2O_3 SiO_2 composite thin films synthesized by high dose oxygen ion implantation.Nuclear Instruments and Methods in Physics Research Section B:Beam Interactions with Materials and Atoms,1998,143(4):493-498
    [3]G.Guisbiers,S.Strehle,M.Wautelet,Modeling of residual stresses in thin films deposited by electron beam evaporation.Microelectronic Engineering,2005,82(3-4):665-669
    [4]杨烈宇,关文铎,顾卓明,材料表面薄膜技术[M].北京:人民交通出版社,1991
    [5]张仕国,张伟,袁俊,樊瑞新,衬底温度对纳米Si-SiO_x薄膜的结构和组分的影响.半导体学报,1998,19(12):903-907
    [6]T.Beica,S.Frunza,R.Moldovan,S.Ponti,On the validity of the elastic model for temperature induced surface transitions.Physics Letters A,1995,197(2):164-172
    [7]王建清,SiO_X镀膜包装材料的开发及发展.塑料包装,2002,12(2):20-23
    [8]王建清,SiO_x镀膜包装材料的生产开发及发展趋势.塑料包装,2001,32(4):16-18
    [9]F.Yubero,A.Barranco,J.A.Mejias,J.P.Espin6s,A.R.Gonzalez-Elipe,Spectroscopic characterisation and chemical reactivity of silicon monoxide layers deposited on Cu(100).Surface Science,2000,458(1-3):229-238
    [10]P.Jagemalm,G.Barbero,L.Komitov,A.Strigazzi,Symmetry rules and temperature-induced anchoring transitions.Physics Letters A,1997,235(6):621-628
    [11]N.Benouattas,A.Mosser,D.Raiser,J.Faerber,A.Bouabellou,Behaviour of copper atoms in annealed Cu/SiO_x/Si systems.Applied Surface Science,2000,153(2-3):79-84
    [12]A.Szekeres,T.Nikolova,A.Paneva,A.Cziraki,Gy.J.Kovacs,I.Lisovskyy,D.Mazunov,I.Indutnyy,P.Shepeliavyi,Silicon nanoparticles in thermally annealed thin silicon monoxide films.Materials Science and Engineering:B,2005,124-125504-507
    [13]Changfeng Wu,Weiping Qin,Guanshi Qin,Dan Zhao,Jisen Zhang,Wu Xu and Haiyan Lin,Spontaneous growth and luminescence of Si/SiOx core-shell nanowires.Chemical Physics Letters,2003,378(3-4):368-373
    [14]Chaorong Li,Xiaona Zhang,Ze Zhang,In situ observation of bamboo-shoot-like one-dimensional growth of SiOx-AgyO nanowires induced by electron beam irradiation.Materials Letters,2004,58(27-28):3573-3577
    [15]K.I.ARSHAK,B.AL MUKHTAR,D.MCDONAGH,Development of thin film planar transformer based on a novel composite of Bi2 O3-Fe2 O3-SiOx.International Journal of Electronics,1997,83(6):793-804
    [16]吴萍,邹兴权,程文德,SiOx纳米线的热蒸发法制备及表征.汕头大学学报(自然科学版),2006,21(4):36-40
    [17]G.Manolis,D.Papadimitriou,D.Nesheva,Photoreflectance study of multilayer structures of nanocrystalline CdSe in insulator matrix.Thin Solid Films,2006,495(1-2):338-342
    [18]Hai-feng Jiang,Yi-qing Chen,Qing-tao Zhou,Yong Su,Shu-yuan Zhang,Hai-hua Xiao,Synthesis and Photoluminescence of SiOx Nanotubes.化学物理学报,2006,19(6):511-514
    [19]Prajakta S,Chaudhari,Tejashree M.Bhave,Renu Pasricha,Fouran Singh,D.Kanjilal,S.V.Bhoraskar,Controlled growth of silicon nanocrystallites in silicon oxide matrix using 150 MeV Ag ion irradiation.Nuclear Instruments and Methods in Physics Research Section B:Beam Interactions with Materials and Atoms,2005,239(3):185-190
    [20]Xiaona Zhang,Chaorong Li,Ze Zhang,One-dimensional growth induced by thermal stress.Materials Letters,2004,58(12-13):1917-1919
    [21]R.D.Gould,M.G.Lopez,Electrical conductivity and dynamics of electroforming in Al-SiO_x-Al thin film sandwich structures.Thin Solid Films,2003,433(1-2):315-320
    [22]Ying-Cui FANG,Wei-Qing LI,Le-Jun QI,Zhuang-Jian ZHANG,Ming LU,Peak Position of Photoluminescence of Si Nanocrystals versus Thickness of SiO_x Thin Films.Chinese Physics Letter,2003,20(12):2252-2254
    [23]Ying-Cui FANG,Lu-Ying LI,You-Yuan ZHAO,Le-Jun QI,Wei-Qing LI,Zhuang-Jian ZHANG,Ming LU,Photoluminescence of SiOx Thin Films After Annealing at Various Temperatures.Chinese Physics Letter,2003,20(11):2042-2044
    [24]G.Dennler,A.Houdayer,P.Raynaud,I.Seguy,Y.Segui,M.R.Wertheimer,Investigations of SiOx-polymer "interphases" by glancing angle RBS with Li~+ and Be~+ ions.Nuclear Instruments and Methods in Physics Research Section B:Beam Interactions with Materials and Atoms,2003,208176-180
    [25]P.Schouwink,H.v.Berlepsch,L.Dahne,R.F.Mahrt,Dependence of Rabi-splitting on the spatial position of the optically active layer in organic microcavities in the strong coupling regime.Chemical Physics,2002,285(1):113-120
    [26]D.Nesheva,H.Hofmeister,Z.Levi,Z.Aneva,Nanoparticle layers of CdSe buffed in oxide and chalcogenide thin film matrices.Vacuum,2002,65(1):109-113
    [27]D.Nesheva,I.Bineva,Z.Levi,Z.Aneva,Ts.Merdzhanova,J.C.Pivin,Composition,structure and annealing-induced phase separation in SiO_x films produced by thermal evaporation of SiO in vacuum.Vacuum,2002,68(1):1-9
    [28]S.Miyazaki,M.Narasaki,M.Ogasawara,M.Hirose,Chemical and electronic structure of ultrathin zirconium oxide films on silicon as determined by photoelectron spectroscopy.Solid-State Electronics,2002,46(11):1679-1685
    [29]D.Memmi,V.Foglietti,E.Cianci,G.Caliano,M.Pappalardo,Fabrication of capacitive micromechanical ultrasonic transducers by low-temperature process.Sensors and Actuators A:Physical,2002,99(1-2):85-91
    [30]J.P.Holgado,A.Barranco,F.Yubero,J.P.Espinos,A.R.Gonzalez-Elipe,Ion beam effects in SiOx(x<2)subjected to low energy Ar~+,He~+ and N_2~+ bombardment.Nuclear Instruments and Methods in Physics Research Section B:Beam Interactions with Materials and Atoms,2002,187(4):465-474
    [31]H.W.Zhang,Y.L.Liu,Z.Y.Zhong,An improved microchip thin film transformer formed by vacuum evaporation and sputtering.Vacuum,2001,62(1):1-6
    [32]K.Sakamoto,K.Asada,T.Sameshima,T.Saitoh,High-pressure H_2O vapor heating used for passivation of SiO_2/Si interfaces.Solar Energy Materials and Solar Cells,2001,65(1-4):571-576
    [33]K.Sakamoto,K.Asada,T.Sameshima,Field effect surface passivation of SiO_2/Si interfaces by heat treatment with high-pressure H_2O vapor.Solar Energy Materials and Solar Cells,2001,65(1-4):565-570
    [34]S.Miyazaki,M.Narasaki,M.Ogasawara,M.Hirose,Characterization of ultrathin zirconium oxide films on silicon using photoelectron spectroscopy.Microelectronic Engineering,2001,59(1-4):373-378
    [35]V.S.Khomchenko,L.I.Berejinskij,M.V.Sopinskyy,On the correlation character between the structure perfection and electroluminescent properties of terbium doped silicon monoxide films.Physica B:Condensed Matter,2001,308-310268-271
    [36]Yong-jun Chen,Jian-bao Li,Jin-hui Dai,Si and SiOx nanostructures formed via thermal evaporation.Chemical Physics Letters,2001,344(5-6):450-456
    [37]A.Li Bassi,C.E.Bottani,A.Stella,P.Tognini,P.Cheyssac,R.Kofman,Damped and overdamped acoustic phonons in tin nanoparticles detected by low frequency Raman scattering.Materials Science and Engineering:C,2001,15(1-2):21-23
    [38]H.S.Bae,W.S.Lee,T.G.Kim,C.N.Whang,J.H.Song,S.Im,Characterization and light-emitting properties of Au/SiO_x/p-Si and Au/Ni-implanted-SiO_x/p-Si structures.Thin Solid Films,2001,398-399485-489
    [39]D.Nesheva,H.Hofmeister,Formation of CdSe nanoclusters in SiOx thin films.Solid State Communications,2000,114(10):511-514
    [40]B.Gallas,S.Fisson,A.Brunet-Bruneau,G.Vuye and J.Rivory,Ellipsometric investigation of the Si/SiO_2 interface formation for application to highly reflective dielectric mirrors.Thin Solid Films,2000,377-37862-67
    [41]F.Yubero,A.Barranco,J.P.Espin6s,A.R.Gonzalez-Elipe,Anomalous behaviour in resonant Auger emission of SiO_x thin films.Surface Science,1999,436(1-3):202-212
    [42]K.Tsugawa,K.Kitatani,H.Noda,A.Hokazono,K.Hirose,M.Tajima,H.Kawarada,High-performance diamond surface-channel field-effect transistors and their operation mechanism.Diamond and Related Materials,1999,8(2-5):927-933
    [43]D.Nesheva,C.Raptis,Z.Levi,Z.Popovic,I.Hinic,Photoluminescence of CdSe nanocrystals embedded in a SiOx thin film matrix.Journal of Luminescence,1999,82(3):233-240
    [44]R.D.Gould,M.G.Lopez,Poole-Frenkel conductivity prior to electroforming in evaporated Au-SiOx-Au sandwich structures.Thin Solid Films,1999,343-34494-97
    [45]H.Rinnert,M.Vergnat,G.Marchal,A.Burneau,Strong visible photoluminescence in amorphous SiOx and SiOx:H thin films prepared by thermal evaporation of SiO powder.Journal of Luminescence,1998,80(1-4):445-448
    [46]Yasuhide Ohkata,Takuya Tsuno,Eriko Matsui,Hidehiko Takanashi,Koichi Itoh,A combined method of multiple-reflection and optical-wave-guide Raman scattering spectroscopies for studying the electric-field-induced reorientation of a ferroelectric liquid crystal cell.Chemical Physics Letters,1998,295(1-2):17-24
    [47]M.G.Lopez,R.D.Gould,AC electrical properties of vacuum deposited Au-SiOx-Au sandwich structures after electroforming.Thin Solid Films,1998,333(1-2):170-175
    [48]H.Hofmeister,P.Kodderitzsch,J.Dutta,Structure of nanometersized silicon particles prepared by various gas phase processes.Journal of Non-Crystalline Solids,1998,232(234):182-187
    [49]B.Fan,H.K.M.Vithana,J.C.Kralik,S.M.Faris,Optical circular dichroism of vacuum-deposited film stacks.Optics Communications,1998,147(4-6):265-268
    [50]R.G.Sharpe,R.E.Palmer,Evidence for field emission in electroformed metal-insulator-metal devices.Thin Solid Films,1996,288(1-2):164-170
    [51]M.S.Sahota,E.L.Short,J.Beynon,An analysis of silicon oxide thin films by computer simulation of Si 2p XPS spectra using the Sanderson technique.Journal of Non-Crystalline Solids,1996,195(1-2):83-88
    [52]K Somogyi,G Safran,Mobility variations in semiconducting Ag_2Se layers.Vacuum,1995,46(8-10):1055-1058
    [53]S.Sato,H.Ono,S.Nozaki,H.Morisaki,Photoluminescence study on Oxygen-containing silicon nanostructures.Nanostructured Materials,1995,5(5):589-598
    [54]M.G.Lopez,R.D.Gould,A.C.electrical properties of vacuum deposited Au-SiO_x-Au sandwich structures prior to electroforming.Thin Solid Films,1995,254(1-2):291-295
    [55]Darren T.Castro,Jackie Y.Ying,Synthesis and nitridation of nanocrystalline silicon produced via a tubular forced flow reactor.Materials Science and Engineering A,1995,204(1-2):65-70
    [56]李海峰,熊华,刁宏伟,郑怀德,廖显伯,nμc-Si:H/SiOx/Ag隧道背面接触对a-Si:H太阳能电池性能的影响.半导体学报,1991,12(11):705-708
    [57]Takashi Futatsugi,Shingo Ogawa,Mikio Takemoto,Masa-aki Yanaka,Yusuke Tsukahara,Integrity evaluation of SiO_x film on polyethylene terapthalate by AE characterization and laser microscopy.NDT & E Intemational,1996,29(5):307-316
    [58]J.Ivaneo,Zs.J.Horvath,Vo Van Tuyen,C.Coluzza,J.Almeida,A.Terrasi,B.Pecz,Gy.Vincze,G.Margaritondo,Electrical characterization of Au/SiO_x/n-GaAs junctions.Solid-State Electronics,1998,42(2):229-233
    [59]Jiangeng Xue,Dayan Ban,Rongchuan Fang,Erdong Lu,Pengshou Xu,Band lineup of SiO_x/ZnS(111)heterojunction:a synchrotron radiation photoemission study.Thin Solid Films,1998,334(1-2):20-24
    [60]徐春祥,徐征,娄志东,徐叙珞,吴建新,季明荣,SrS/a—SiO2界面的XPS研究.化学学报,1998,56(10):999-1003
    [61]Keigo Ehara,Seigo Kanemaru,Takashi Matsukawa,Junji Itoh,Improvement of electron emission characteristics of Si field emitter arrays by surface modification.Applied Surface Science,1999,146(1-4):172-176
    [62]Masa-aki Yanaka,Yutaka Kato,Yusuke Tsukahara,Nobuo Takeda,Effects of temperature on the multiple cracking progress of sub-micron thick glass films deposited on a polymer substrate.Thin Solid Films,1999,355-356337-342
    [63]Olaf Zywitzki,Hagen Sahm,Mario Krug,Henry Morgner,Manfred Neumann,Comparison of structure and properties of SiOx coatings deposited by reactive pulsed magnetron sputtering (PMS)and by hollow cathode activated EB evaporation(HAD).Surface and Coatings Technology,2000,133-134555-560
    [64]J.G.Borges,L.S.Dorneles,J.-L.Maurice,L.F.Schelp,Intra and inter-plane spin dependent tunneling in Co/SiO_x granular multilayers.Joumal of Magnetism and Magnetic Materials,2001,226-230 Part 1936-938
    [65]M.Yanaka,B.M.Henry,A.P.Roberts,C.R.M.Grovenor,G.A.D.Briggs,A.P.Sutton,T.Miyamoto,Y.Tsukahara,N.Takeda,R.J.Chater,How cracks in SiOx-coated polyester films affect gas permeation.Thin Solid Films,2001,397(1-2):176-185
    [66]J.C.Li,C.S.Lee,S.T.Lee,Direct growth of β-SIC nanowires from SiOx thin films deposited on Si(100)substrate.Chemical Physics Letters,2002,355(1-2):147-150
    [67]A.P.Roberts,B.M.Henry,A.P.Sutton,C.R.M.Grovenor,G.A.D.Briggs,T.Miyamoto,M.Kano,Y.Tsukahara,M.Yanaka,Gas permeation in silicon-oxide/polymer(SiOx/PET)barrier films:role of the oxide lattice,nano-defects and macro-defects.Journal of Membrane Science,2002,208(1-2):75-88
    [68]B.Gallas,C.-C.Kao,S.Fisson,G.Vuye,J.Rivory,In situ control of SiOx composition by spectroscopic ellipsometry.Materials Science and Engineering:B,2003,105(1-3):205-208
    [69]吴艳军,蔡炳初,张亚非,吴建生,碳化硅纳米晶须的制备研究进展.电子元件与材料,2003,22(9):41-44
    [70]L.Drzsa,G.Molnar,Zs.J.Horwith,A.L.Toth,J.Gyulai,V.Rained,F.Giannazzo,Investigation of the morphology and electrical characteristics of FeSi_2 quantum dots on silicon.Applied Surface Science,2004,234(1-4):60-66
    [71]B.Gallas,Chih-Cheng Kao,C.Defranoux,S.Fisson,G.Vuye,J.Rivory,Dielectric function of Si nanocrystals embedded in SiO_2.Thin Solid Films,2004,455-456335-338
    [72]C.Gravalidis,M.Gioti,A.Laskarakis,S.Logothetidis,Real-time monitoring of silicon oxide deposition processes.Surface and Coatings Technology,2004,180-181655-658
    [73]王正铎,张跃飞,葛袁静,张广秋,氧化硅阻隔膜的制备及对水蒸气的阻隔特性研究.包装工程,2004,25(5):156-158
    [74]Luana Persano,Elisa Mele,Dario Pisignano,Vanessa Frascerra,Roberto Cingolani,Polymer microcavities by room temperature electron-beam evaporation of TiOx and SiO.Synthetic Metals,2005,153(1-3):329-332
    [75]Lei Qian,Feng Teng,Shengyi Yang,Shanyu Quan,Mixed excitation mechanism in solid-state cathodoluminescence structure.Chemical Physics Letters,2005,405(4-6):389-392
    [76]Malte Schulz-Ruhtenberg,Jurgen Ihlemann,Jorg Heber,Laser patterning of SiOx-layers for the fabrication of UV diffractive phase elements.Applied Surface Science,2005,248(1-4):190-195
    [77]B.Gallas,C.-C.Kao,S.Fisson,G.Vuye,J.Rivory,Y.Bernard,C.Belouet,Laser annealing of SiO_x thin films.Applied Surface Science,2002,185(3-4):317-320
    [78]S.Z.A.Zaidi,J.Beynon,C.B.Steele,B.R.Orton,Thermoelectric power and d.c.conductivity of co-evaporated Mn/SiOx cermet thin films.Thin Solid Films,1995,256(1-2):120-123
    [79]J.F.Roux,B.Cabaud,M.Treilleux,A.Hoareau,G.Fuchs,Synthesis of size controlled nanoparticles in an insulating matrix.Nanostructured Materials,1995,6(1-4):525-528
    [80]T.V.Torchynska,A.Vivas Hernandez,A.V.Kolobov,Y.Goldstein,E.Savir,J.Jedrzejewski,Visible photoluminescence of Ge enriched SiO_x layers.Journal of Electron Spectroscopy and Related Phenomena,2004,137-140619-622
    [81]P.B.Barna,M.Adamik,U.Kaiser,H.Hobert,Preparation of polycrystalline and microcrystalline germanium composite films by codeposition of active additives.Journal of Non-Crystalline Solids,1998,227-230 Part 21063-1068
    [82]H.Rinnert,M.Vergnat,G.Marchal,Structure and optical properties of amorphous SiOx thin films prepared by co-evaporation of Si and SiO.Materials Science and Engineering B,2000,69-70484-488
    [83]Iku Endo,Nobuhiro Onouchi,Hideyuki Yamaguchi,Atsushi Shimbori,Satoru Matsumoto,Cathode-luminescence property of Er3+/Yb3+-doped amorphous GeO2.Optical Materials,2006,28(6-7):879-882
    [84]A.O.Kodolbas,B.Comak,A.Baciog lu,O.Oktu,Lateral photovoltage measurements in hydrogenated amorphous silicon and silicon-oxygen thin films.Journal of Non-Crystalline Solids,2005,351(5):426-431
    [85]I.Umezu,K.Yoshida,A.Sugimura,T.Inokuma,S.Hasegawa,Y.Wakayama,Y.Yamada,T.Yoshida,A comparative study of the photoluminescence properties of a-SiOx:H film and silicon nanocrystallites.Journal of Non-Crystalline Solids,2000,266-269 Part 21029-1032
    [86]N.Matsushita,K.Noma,S.Nakagawa,M.Naoe,Control of crystallographic and magnetic characteristics in Co-Zn ferrite sputtered films.Journal of Magnetism and Magnetic Materials,1995,140-144,Part 32085-2086
    [87]M.Legros,G.Dehm,R.M.Keller-Flaig,E.Arzt,K.J.Hernker,S.Suresh,Dynamic observation of Al thin films plastically strained in a TEM.Materials Science and Engineering A,2001,309-310463-467
    [88]A.K.Chu,C.J.Lin,W.H.Cheng,Multilayer dielectric materials of SiO_x/Ta_2O_5/SiO_2 for temperature-stable diode lasers.Materials Chemistry and Physics,1995,42(3):214-216
    [89]Keisuke Sato,Tomio Izumi,Mitsuo Iwase,Yoshiyuki Show,Hiroshi Morisaki,Toshie Yaguchi,Takeo Kamino,Nucleation and growth of nanocrystalline silicon studied by TEM,XPS and ESR.Applied Surface Science,2003,216(1-4):376-381
    [90] Naoto Koshizaki, Hiroyuki Umehara, Takeshi Sasaki, Umapada Pal, Toshie Oyama, Nanostructure and photoluminescence property of Si/MgO and Si/ZnO co-sputtered films. Nanostructured Materials, 1999, 12(5-8): 975-978
    [91] Leandro R. Tessler, Ana Carola Iniguez, Optimization of the as-deposited 1.54 μm photoluminescence intensity in a-SiO_x : H . Journal of Non-Crystalline Solids, 2000,266-269 Part 1603-607
    [92] Y. Miyamoto, Y. Kubo, N. Ono, M. Hashimoto, T. Takahashi, I. Ito, F. Arezzo, P. Gimondo, Properties of thin TiN films deposited onto stainless steel by an in-line dry coating process. Thin Solid Films, 1995,270(1-2): 253-259
    [93] N. Tomozeiu, E. E. van Faassen, W. M. Arnoldbik, A. M. Vredenberg, F. H. P. M. Habraken, Structure of sputtered silicon suboxide single- and multi-layers. Thin Solid Films, 2002, 420-421382-385
    [94] Y. He, J.Y. Feng, Q.L. Wu, A study of the correlation between nickel and the ultraviolet emission in SiOx films, rnal of Crystal Growth, 2005,281(2-4): 318-322
    [95] A. Choukourov, A. Grinevich, J. Hanus, J. Kousal, D. Slavinska, H. Biederman, A. Bowers, L. Hanley, A comparison of polyatomic ion deposited, RF magnetron sputtered and plasma polymer organosilicon films. Thin Solid Films, 2006, 502(1-2): 40-43
    [96] A. Choukourov, Y. Pihosh, V. Stelmashuk, H. Biederman, D. Slavinska, M. Kormunda, L. Zajikova, Rf sputtering of composite SiO_x/plasma polymer films and their basic properties. Surface and Coatings Technology, 2002, 151-152214-217
    [97] Leandro R. Tessler, Daniel Biggemann, Temperature independent Er3+ photoluminescence lifetime in a-Si:H and a-SiO_x:H. Materials Science and Engineering: B, 2003, 105(1-3): 165-168
    [98] Naoto Koshizaki, Hiroyuki Umehara, Takeshi Sasaki, Toshie Oyama, Characterization of co-sputtered Si/MgO films — a comparison with Si/SiO_2 and Si/Al_2O_3 films. Nanostructured Materials, 1997, 8(8): 1085-1092
    [99] Debajyoti Das, Madhusudan Jana, A. K. Barua, Characterization of undoped μc-SiO:H films prepared from (SiH_4+CO_2+H_2)-plasma in RF glow discharge. Solar Energy Materials and Solar Cells, 2000, 63(3): 285-297
    [100] Y. Pihosh, H. Biederman, D. Slavinska, J. Kousal, A. Choukourov, M. Trchova, A. Mackova, A. Boldyryeva, Composite SiOx/fluorocarbon plasma polymer films prepared by r.f. magnetron sputtering of SiO_2 and PTFE. Vacuum, 2006, 81(1): 38-44
    [101] Y. Pihosh, H. Biederman, D. Slavinska, J. Kousal, A. Choukourov, M. Trchova, A. Mackova, A. Boldyreva, Composite SiO_x/hydrocarbon plasma polymer films prepared by RF magnetron sputtering of SiO_2 and polyethylene or polypropylene. Vacuum, 2006, 81(1): 32-37
    [102] Johann Mertens, Eric Finot, Marie-Helene Nadal, Vincent Eyraud, Olivier Heintz, Eric Bourillot, Detection of gas trace of hydrofluoric acid using microcantilever. Sensors and Actuators B: Chemical, 2004, 99(1): 58-65
    [103] Fachun Lai(赖发春), Ming Li(李明), Haiqian Wang(王海千), Yousong Jiang(姜友松), Yizhou Song(宋亦周), Effect of oxygen flow rate on the properties of SiOx films deposited by reactive magnetron sputtering. CHINESE OPTICS LETTERS, 2005,3(8): 490-493
    [104] E.D. van Hattum, A. Palmero, W.M. Arnoldbik, F.H.P.M. Habraken, Experimental characterization of the deposition of silicon suboxide films in a radiofrequency magnetron reactive sputtering system. Surface and Coatings Technology, 2004, 188-189399-403
    [105] Sejoon Lee, Young Suk Shim, Hoon Young Cho, Tae Won Kang, Deuk Young Kim, Youn Hwan Lee, Kang L. Wang, Fabrication and characterization of silicon-nanocrystal using platinum nanomask. Thin Solid Films, 2004,451-452379-383
    [106] I. T. H. Chang, B. Cantor, P. A. Leigh, P. J. Dobson, Fabrication of Si/SiO_2 nanocomposite thin films. Nanostructured Materials, 1995,6(5-8): 835-838
    [107] M. Zacharias, J. Biasing, M. Lohmann, J. Christen, Formation of Ge nanocrystals in amorphous GeO_x and SiGeO_x alloy films. Thin Solid Films, 1996,278(1-2): 32-36
    [108] M. Zacharias, J. Biasing, J. Christen, P. Veit, B. Dietrich, D. Bimberg, Formation of Ge nanocrystals with sharp size distribution: structural and optical characterization. Superlattices and Microstructures, 1995, 18(2): 139-146
    [109] Y.M. Kang, S.J. Lee, D.Y. Kim, T.W. Kim, Y.-D. Woo, K.L. Wang, Formation of Si nanocrystals utilizing a Au nanoscale island etching mask. Materials Research Bulletin, 2005,472(1-2): 44-48
    [110] Lin YANG, Yu-Liang LIU, Qi-Ming WANG, Guang-Hai LI, Li-De ZHANG, Influence of Ambient Atmosphere on the Plasmon Resonance Absorption of Ag/SiO_x(0 ≤ x ≤ 2) Nanocomposite Film. Chinese Physics Letter, 2002, 19(6): 867-870
    [111]J.U.Schmidt,B.Schmidt,Investigation of Si nanocluster formation in sputter-deposited silicon sub-oxides for nanocluster memory structures.Materials Science and Engineering B,2003,101(1-3):28-33
    [112]M.Zacharias,P.M.Fauchet,Light emission from Ge and GeO_2 nanocrystals.Journal of Non-Crystalline Solids,1998,227-230 Part 21058-1062
    [113]L.Khomenkova,N.Korsunska,V.Yukhimchuk,B.Jumayev,T.Torchynska,A.Vivas Hernandez,A.Many,Y.Goldstein,E.Savir,J.Jedrzejewski,Nature of visible luminescence and its excitation in Si-SiO_x systems.Journal of Luminescence,2003,102-103705-711
    [114]T.Torchynska,F.G.Becerril Espinoza,Y.Goldstein,E.Savir,J.Jedrzejewski,L.Khomenkova,N.Korsunska,V.Yukhimchuk,Nature of visible luminescence of co-sputtered Si-SiO_x systems.Physica B:Condensed Matter,2003,340-3421119-1123
    [115]N Smirnov,N Nedev,J Koprinarova,DB Dimitrov,The permittivity in a magnetic field of thin SiOx layers containing Fe and Ni.Vacuum,1996,47(9):1105-1106
    [116]H.Seifarth,J.U.Schmidt,R.Grotzschel,M.Klimenkov,Phenomenological model of reactive r.f.-magnetron sputtering of Si in Ar/O_2 atmosphere for the prediction of SiO_x thin film stoichiometry from process parameters.Thin Solid Films,2001,389(1-2):108-115
    [117]E.Buzaneva,A.Gorchinsky,G.Popova,T.Veblaya,S.Zankovych,Yu.Boiko,P.Zolotarenko,V.Pogorelov,V.Bukalo,A.Benilov,S.Lazarouk,S.Beyliss,A.Starovoitov,A.Senkevich,Photophysical properties of nano Si/SiOx composites in Al/composite/mono Si structures for green light emitting and photodetector-Schottky diodes.Materials Science in Semiconductor Processing,2000,3(5-6):529-537
    [118]H.Seifarth,R.Grotzschel,A.Markwitz,W.Matz,P.Nitzsche,L.Rebohle,Preparation of SiO2films with embedded Si nanocrystals by reactive r.f.magnetron sputtering.Thin Solid Films,1998,330(2):202-205
    [119]Y.He,L.Bi,J.Y.Feng,Q.L.Wu,Properties of Si-rich SiO2 films by RF magnetron sputtering.Journal of Crystal Growth,2005,280(3-4):352-356
    [120]K.Haga,H.Watanabe,A structural interpretation of Si-O-Si vibrational absorption of high-photoconductive amorphous a-SiOx:H films.Journal of Non-Crystalline Solids,1996,195(1-2):72-75
    [121]L.-N.He,D.-M.Wang,S.Hasegawa,A study of plasma-deposited amorphous SiOx:H (0<=x<=2.0)films using infrared spectroscopy.Joumal of Non-Crystalline Solids,2000,261(1-3):67-71
    [122]肖淑娟,富硅二氧化硅薄膜的蓝色荧光特性研究.上海海运学院学报,2003,24(1):60-62
    [123]乇申伟,衣立新,苏梦蟾,陈恩光,王永生,红外吸收光谱法研究磁控溅射沉积SiOx非晶薄膜的过程.光谱学与光谱分析,2007,27(3):456-459
    [124]J.-P.R.Wells,E.D.van Hattum,P.J.Phillips,D.A.Carder,F.H.P.M.Habraken,J.I.Dijkhuis,Degenerate four wave mixing spectroscopy of oxygen vibrations in amorphous silicon.Journal of Luminescence,2004,108(1-4):173-176
    [125]A.Palmero,N.Tomozeiu,A.M.Vredenberg,W.M.Arnoldbik,F.H.P.M Habraken,On the deposition process of silicon suboxides by a RF magnetron reactive sputtering in Ar-O_2 mixtures:theoretical and experimental approach.Surface and Coatings Technology,2004,177-178215-221
    [126]罗经国,吴雪梅,诸葛兰剑,宁兆元,姚伟国,温度对非晶SiO薄膜的光致发光特性的影响.苏州大学学报(自然科学),2001,17(3):65-69
    [127]吴雪梅,汤乃云,叶春暖,董业民,诸葛兰剑,宁兆元,姚伟国,非晶SiOx薄膜的制备及光学特性的研究.微细加工技术,2001,(4):39-43
    [128]李群,诸葛兰剑,吴雪梅,项苏留,a-SiOx:C薄膜的结构与发光特性研究.真空科学与技术,2004,24(2):92
    [129]Naoto Koshizaki,Hiroyuki Umehara,Toshie Oyama,XPS characterization and optical properties of Si/SiO_2,Si/Al_2O_3 and Si/MgO co-sputtered films.Thin Solid Films,1998,325(1-2):130-136
    [130]Naoto Koshizaki,Katsuya Yasumoto,Shin-ya Terauchi,Hiroyuki Umehara,Takeshi Sasaki,Toshie Oyama,Nanostructure and chemical state of nanocomposites prepared by co-sputtering method.Nanostructured Materials,1997,9(1-8):587-590
    [131]Takashi Ehara,Soushi Machida,The effect of nitrogen doping on the structure of cluster or microcrystalline silicon embedded in thin SiO2 films.Thin Solid Films,1999,346(1-2):275-279
    [132]Jae Kwon Kim,Kyu Man Cha,Jung Hyun Kang,Yong Kim,Jae-Yel Yi,Tae Hun Chung,Hong Jun Bark,The influence of secondary ion beam irradiation on the formation of Si nanocrystals during dual ion beam sputtering.Thin Solid Films,2005,478(1-2):116-120
    [133]U.Pal,J.Garcia Serrano,N.Koshizaki,T.Sasaki,Photoluminescence in Si/ZnO nanocomposites.Materials Science and Engineering B,2004,113(1):24-29
    [134]李群,梁坚,黎定国,邓玲娜,吴雪梅,诸葛兰剑,非晶SiOx:C溥膜的发光特性研究.功能材料与器件学报,2006,12(3):192-196
    [135]田民波,刘德令,薄膜科学与技术手册[M].北京:机械工业出版社,1991
    [136]邓晓清,张仕国,樊瑞新,纳米Si-SiOx薄膜的发光.材料科学与工程,1998,16(2):24-26
    [137]万钧,盛麓,陆肪,龚大卫,樊永良,林峰,王迅,掺铒SiOx的光致发光特性.物理学报,1998,47(10):1741-1746
    [138]褚幼令,盛麓圆,王昕,龚大卫,王宗欣,SiOx、Si/Si_(1-x)Gex的横向磁阻和迁移率的无接触测量.固体电子学研究与进展,1999,19(1):92-96
    [139]Y.R.Xing,J.A.Wu,S.D.Yin,Characteristics of oxides formed from a Si_(0.5)Ge_(0.5)alloy.Surface Science,1995,334(1-3):L705-L708
    [140]M.Hacke,H.L.Bay,S.Mantl,MBE growth and characterization of buried silicon oxide films on Si(100)Thin Solid Films,1996,280(1-2):107-111
    [141]Naresh Chand,J.E.Johnson,J.W.Osenbach,W.C.Liang,L.C.Feldman,W.T.Tsang,H.W.Krautter,M.Passlack,R.Hull,V.Swaminathan,Molecular beam deposition of high quality silicon oxide dielectric films.Journal of Crystal Growth,1995,148(4):336-344
    [142]J.Wan,C.Sheng,F.Lu,S.Yuan,D.W.Gong,L.S.Liao,Y.L.Fang,F.Lin,Xun Wang,Visible and infrared photoluminescence from Er-doped SiOx.Journal of Luminescence,1998,80(1-4):369-373
    [143]A.Sticht,M.Markmann,K.Brunner,G.Abstreiter,E.Muller,Silicon/silicon suboxide heterostructures grown by molecular beam epitaxy.Materials Science and Engineering B,2002,89(1-3):274-278
    [144]A.Sticht,M.Markmann,K.Brunner,G.Abstreiter,Thin SiOx layers embedded in single crystalline silicon.Physica E:Low-dimensional Systems and Nanostructures,2002,13(2-4):978-981
    [145]J.F.Roux,L.Bardotti,B.Cabaud,M.Treilleux,P.Jensen,A.Hoareau,Structural properties of granular Bi-SiOx films prepared by low-energy cluster beam deposition.Materials Science and Engineering B,1997,49(2):110-116
    [146]S.-M.Chen,J.M.Shannon,R.M.Gwilliam,B.J.Sealy,Electrical characterization of a-SiO_x:H produced by plasma immersion ion implantation.Solid-State Electronics,1999,43(3):599-607
    [147]U.Serincan,S.Yerci,M.Kulakci,R.Turan,Evolution of SiO2 matrix during the formation of Ge and Si nanocrystals by ion implantation.Nuclear Instruments and Methods in Physics Research Section B:Beam Interactions with Materials and Atoms,2005,239(4):419-425
    [148]J.C.Pivin,M.Jirnenez de Castro,H.Hofrneister,M.Sendova-Vassileva,Exciton-erbium coupling in SiOx suboxide films prepared by combining sol/gel chemistry and ion implantation.Materials Science and Engineering B,2003,97(1):13-19
    [149]Tsutomu Shimizu-Iwayama,Katsunori Fujita,Mitsuru Akai,Setsuo Nakao,Kazuo Saitoh,Formation of visible photoluminescence bands in Si~+-implanted silica glasses and thermal oxide films on crystalline Si.Journal of Non-Crystalline Solids,1995,187112-118
    [150]W.Wesch,A.Heft,H.Hobert,G.Peiter,E.Wendler,T.Bachmann,High dose MeV oxygen ion implantation into SiC.Nuclear Instruments and Methods in Physics Research Section B:Beam Interactions with Materials and Atoms,1998,141(1-4):160-163
    [151]W.Wesch,A.Heft,R.Menzel,T.Bachmann,G.Peiter,H.Hobert,T.Hoche,P.Dannberg,A.Brauer,Ion beam processing of SiC for optical application.Nuclear Instruments and Methods in Physics Research Section B:Beam Interactions with Materials and Atoms,1999,148(1-4):545-550
    [152]M.Chasse,G.G.Ross,Modification of wetting properties of SiO_x surfaces by Ar implantation.Nuclear Instruments and Methods in Physics Research Section B:Beam Interactions with Materials and Atoms,2002,193(1-4):835-845
    [153]C.S.Zhang,H.B Xiao,Y.J.Wang,Z.J.Cheng,X.L.Cheng,F.Zhang,Photoluminescence thermal quenching behaviors of Er-doped SiOx(x<2)prepared by ion implantation.Physica B:Condensed Matter,2005,362(1-4):208-213
    [154]宋海智,鲍希茂,Si~+注人热生长SiO_2的光致发光激发谱与光电子能谱.半导体学报,1997,18(11):820-824
    [155]G.A.Kachurin,I.E.Tyschenko,K.S.Zhuravlev,N.A.Pazdnikov,V.A.Volodin,A.K.Gutakovsky,A.F.Leier,W.Skorupa,R.A.Yankov,Visible and near-infrared luminescence from silicon nanostructures formed by ion implantation and pulse annealing.Nuclear Instruments and Methods in Physics Research Section B:Beam Interactions with Materials and Atoms,1997,122(3):571-574
    [156]Changqing Li,Keiichi Kondo,Tetsuya Makimura,Kouichi Murakami,Fabrication of Er-doped Si nanocrystallites without thermal quenching of 1.5μm photoluminescence.Applied Surface Science,2002,197-198607-609
    [157]A.V.Kabashin,M.Meunier,Laser-induced treatment of silicon in air and formation of Si/SiO_x photoluminescent nanostructured layers.Materials Science and Engineering B,2003,101(1-3):60-64
    [158]I.A.Movtchan,W.Marine,R.W.Dreyfus,H.C.Le,M.Sentis,M.Autric,Optical spectroscopy of emission from Si-SiO_x nanoclusters formed by laser ablation.Applied Surface Science,1996,96-98251-260
    [159]T.Makimura,Y.Kunii,N.Ono,K.Murakami,Silicon nanoparticles embedded in SiO2 films with visible photoluminescence.Applied Surface Science,1998,127-129388-392
    [160]Vladislav Dinek,Josef Pola,Zdenk Bastl,Jan ubrt,TEA CO2 pulsed laser deposition of silicon suboxide films.Journal of Non-Crystalline Solids,2001,288(1-3):30-36
    [161]Tetsuya Makimura,Yoshiaki Yamamoto,Shinjo Mitani,Taiji Mizuta,Chang Qing Li,Daishi Takeuchi,Kouichi Murakami,Phosphorus-doped Si nanocrystallites embedded in SiO2 films.Applied Surface Science,2002,197-198670-673
    [162]D.-Q.Yang,M.Meunier,E.Sacher,The surface modification of nanoporous SiOx thin films with a monofunctional organosilane.Applied Surface Science,2005,252(5):1197-1201
    [163]Seung Min Park,Chang Hyun Bae,Sang Hwan Nam,Young Rae Jang,Keon Ho Yoo,Jeong Sook Ha,The effects of ambient He pressure on the oxygen density of Er-doped SiOx thin films grown by laser ablation of a Si:Er2O3 target.Applied Surface Science,2003,218(1-4):311-317
    [164]A.V.Kabashin,M.Charbonneau-Lefort,M.Meunier,R.Leonelli,Effects of deposition and post-fabrication conditions on photoluminescent properties of nanostructured Si/SiO_x films prepared by laser ablation.Applied Surface Science,2000,168(1-4):328-331
    [165]陈荣光,用阳极真空弧法沉积金属薄膜和SiO_x薄膜.等离子体应用技术快报,1996,(7):4-5
    [166]P.Markschlager,G.Kampschulte,M.Eckel and O.Morlok,Thin metal and SiOx films deposited by the anodic vacuum arc technique.Surface and Coatings Technology,1995,74-75 Part 2838-843
    [167]P.Markschlager,W.Lukhaub,Thin metal and metal oxide films deposited by the anodic vacuum arc technique.Surface and Coatings Technology,1996,86-87 Part 1279-284
    [168]P.J.Martin,A.Bendavid,The filtered arc process and materials deposition.Surface and Coatings Technology,2001,142-1447-10
    [169]刘立,郦剑,叶必光,沈复初,铝基底上SiO陶瓷膜层的CVD制备及结构性能.浙江大学学报(工学版),2003,37(1):70-73
    [170]刘涛,郦剑,沈复初,王幼文,具有高结合强度的铝基片SiOx陶瓷膜层CVD制备.材料热处理学报,2002,23(4):39-42
    [171]刘立,纯铝/铝合金基底上SiO_x陶瓷膜层的CVD制备及其性能研究[D],杭州:浙江大学,2002.
    [172]E.Sondergard,R.Kofman,P.Cheyssac,A.Stella,Production of nanostructures by self-organization of liquid Volumer-Weber films.Surface Science,1996,364(3):467-476
    [173]S.Schamm,R.Berjoan,P.Barathieu,Study of the chemical and structural organization of SIPOS films at the nanometer scale by TEM-EELS and XPS.Materials Science and Engineering B,2004,107(1):58-65
    [174]A.Kuirkova,K.Nawatil,J.Zemek,Depth inhomogeneity of deposited thin films:application to semi-insulating po lycrystalline silicon films.Thin Solid Films,1998,323(1-2):53-58
    [175]C.Busseret,A.Souifi,T.Baron,S.Monfray,N.Buffet,E.Gautier,M.N.Semeria,Electronic properties of silicon nanocrystallites obtained by SiOx(x<2)annealing.Materials Science and Engineering:C,2002,19(1-2):237-241
    [176]M.Modreanu,M.Gartner,E.Aperathitis,N.Tomozeiu,M.Androulidaki,D.Cristea,Paul Hurley,Investigation on preparation and physical properties of nanocrystalline Si/SiO2superlattices for Si-based light-emitting devices.Physica E:Low-dimensional Systems and Nanostructures,2003,16(3-4):461-466
    [177]E.Dehan,P.Temple-Boyer,R.Henda,J.J.Pedroviejo,E.Scheid,Optical and structural properties of SiO_x and SiN_x materials.Thin Solid Films,1995,266(1):14-19
    [178]W.Calleja,C.Falcony,A.Yorres,M.Aceves,R.Osorio,Optical properties of non-stoichiometric SiO_2 as a function of excess silicon content and thermal treatments.Thin Solid Films,1995,270(1-2):114-117
    [179]B.Garrido,J.A.Moreno,M.Lopez,A.Vila,J.Samitier,J.R.Morante,E.Scheid,Structure and photoluminescence of annealed semi-insulating polycrystalline silicon material obtained by disilane.Thin Solid Films,1997,296(1-2):98-101
    [180]Beata Lesiak,Jozef Zemek,Adam Jozwik,Phase distinction in semi-insulating polycrystalline silicon by pattern recognition of X-ray photoelectron spectroscopy/X-ray-induced Auger electron spectroscopy data.Applied Surface Science,1998,135(1-4):318-330
    [181]J.De la Torre,G.Bremond,A.Souifi,G.Guillot,N.Buffet and P.Mur,Simultaneous observation of"Self Trapped Exciton" and Q-confined exciton luminescence emission in silicon nanocrystals.Optical Materials,2005,27(5):1004-1007
    [182]J.De la Torre,G.Bremond,M.Lemiti,G.Guillot,P.Mur,N.Buffet,Silicon nanostructured layers for improvement of silicon solar cells' efficiency:A promising perspective.Materials Science and Engineering:C,2006,26(2-3):427-430
    [183]宋宝丰,高阻隔塑料瓶的开发技术.广东包装,2004,(2):35
    [184]D.Schmeiβer,K.Pressel,Y.Yamamoto,B.Tillack,D.Kru"ger,Ge instabilities near interfaces in Si/SiGe/Si heterostructures.Materials Science and Engineering B,2003,101(1-3):208-211
    [185]X.Q.Yan,D.F.Liu,L.J.Ci,J.X.Wang,Z.P.Zhou,H.J.Yuan,L.Song,Y.Gao,L.F.Liu,W.Y.Zhou,G.Wang,S.S.Xie,H2-assisted control growth of Si nanowires.Journal of Crystal Growth,2003,257(1-2):69-74
    [186]Igor P.Lisovskii,Vladimir G.Litovchenko,Vladimir B.Lozinskii,Sergey I.Frolov,Hans Flietner,Walter Fussel,Elena G.Schmidt,IR study of short-range and local order in SiO_2 and SiOx films.Journal of Non-Crystalline Solids,1995,18791-95
    [187]X.Q.Yan,W.Y.Zhou,L.F.Sun,Y.Gao,D.F.Liu,J.X.Wang,Z.P.Zhou,H.J.Yuan,L.Song,L.F.Liu,G.Wang,S.S.Xie,The influence of hydrogen on the growth of gallium catalyzed silicon oxide nanowires.Journal of Physics and Chemistry of Solids,2005,66(5):701-705
    [188]Z.Jiang,T.Xie,B.Y.Geng,G.Z.Wang,G.S.Wu,X.Y.Yuan,G.W.Meng,L.D.Zhang,Synthesis of core-shell nanowires of FeCoNi alloy core with silicon oxide layers.Inorganic Chemistry Communications,2004,7(6):812-814
    [189]纪红萱,陈卫东,徐明,SiNx和SiNx/SiOx薄膜的荧光和红外吸收光谱研究.现代仪器,2007,13(4):17-19
    [190]Y.L.Cheng,Y.L.Wang,C.W.Liu,Y.L.Wu,K.Y.Lo,C.P.Liu,J.K.Lan,Characterization and reliability of low dielectric constant fluorosilicate glass and silicon rich oxide process for deep sub-micron device application.Thin Solid Films,2001,398-399533-538
    [191]王建清,SiOx镀膜包装材料及其加工技术.中国包装,1995,15(4):58-60
    [192]董志武,韩兆让,杨延华,氧化硅涂塑包装膜与等离子体化学气相淀积(PECVD)技术.包装工程,1997,18(2,3):53-54
    [193]董志武,等离子体增强化学蒸气沉积技术在软包装膜阻透层涂覆巾的应用.塑料包装,1997,7(2):41-44
    [194]M.A.Martinez,M.T.Gutierrez,C.Maffiotte,Chemical changes of ITO/p and ZnO/p interfaces as a function of deposition parameters Surface and Coatings Technology,1998,110(1-2):68-72
    [195]K.Herz,F.Kessler,R.Wachter,M.Powaila,J.Schneider,A.Schulz,U.Schumacher,Dielectric barriers for flexible CIGS solar modules.Thin Solid Films,2002,403-404384-389
    [196]Elvira Fortunato,Alexander Malik,Ana Se^co,Isabel Ferreira,Rodrigo Martins,Amorphous silicon sensors:from photo to chemical detection.Non-Crystalline Solids,1998,227-2301349-1353
    [197]E.Fortunato,A.Malik,R.Martins,Amorphous silicon thin films applied to photochemical sensors.Vacuum,1999,52(1-2):41-44
    [198]M.C.Rossi,S.Salvatori,F.Scrimizzi,F.Galluzzi,R.Janssen,M.Stutzmann,a-SiOx:H thin Film light emitting devices for Si-based optoelectronics.Journal of Luminescence,1999,80(1-4):405-409
    [199]M.S.Hedenqvist,K.S.Johansson,Barrier properties of SiOx-coated polymers:multi-layer modelling and effects of mechanical folding.Surface and Coatings Technology,2003,172(1):7-12
    [200] L. Zajikova, V. Burikova, V. Peina, A. Mackova, J. Jana, Correlation between SiO_x content and properties of DLC:SiO_x films prepared by PECVD. Surface and Coatings Technology, 2003, 174-175281-285
    [201] D. Hegemann, H. Brunner, C. Oehr, Deposition rate and three-dimensional uniformity of RF plasma deposited SiO_x films. Surface and Coatings Technology, 2001,142-144849-855
    [202] Fernanda Irrera, Electrical degradation and recovery of dielectrics in n++-poly-Si/SiO_x/SiO_2/p-sub structures designed for application in low-voltage non-volatile memories. Microelectronics Reliability, 2001,41 (11): 1809-1813
    [203] E. Angelini, S. Grassini, F. Rosalbino, F. Fracassi, R. d'Agostino, Electrochemical impedance spectroscopy evaluation of the corrosion behaviour of Mg alloy coated with PECVD organosilicon thin film. Progress in Organic Coatings, 2003,46(2): 107-111
    [204] A. Irrera, D. Pacifici, M. Miritello, G. Franzo, F. Priolo, F. Iacona, D. Sanfilippo, G Di Stefano, P. G. Fallica, Electroluminescence properties of light emitting devices based on silicon nanocrystals. Physica E: Low-dimensional Systems and Nanostructures, 2003,16(3-4): 395-399
    [205] A. Irrera, M. Miritello, D. Pacifici, G. Franzo, F. Priolo, F. Iacona, D. Sanfilippo, G. Di Stefano, P. G Fallica, Electroluminescence properties of SiOx layers implanted with rare earth ions. NuclearInstruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, 2004,216222-227
    [206] V. Ovchinnikov, V. Sokolov, S. Franssila, Luminescence study of silicon nanostructures prepared by ion beam mixing. Microelectronics Journal, 2003, 34(5-8): 579-581
    [207] Fabio Iacona, Giorgia Franzo, Eduardo Ceretta Moreira, Domenico Pacifici, Alessia Irrera, Francesco Priolo, Luminescence properties of Si nanocrystals embedded in optical microcavities. Materials Science and Engineering: C, 2002, 19(1-2): 377-381
    [208] D. Theirich, Ch. Soll, F. Leu, J. Engemann, Intermediate gas phase precursors during plasma CVD of HMDSO. Vacuum, 2003,71(3): 349-359
    [209] Olga Santos, Tommy Nylander, Roxane Rosmaninho, Gerhard Rizzo, Stergios Yiantsios, Nikolaos Andritsos, Anastasios Karabelas, Hans Muller-Steinhagen, Luis Melo, Laurence Boulange-Petermann, Christelle Gabet, Alan Braem, Christian Tragardh, Marie Paulsson, Modified stainless steel surfaces targeted to reduce fouling-surface characterization. Journal of Food Engineering, 2004, 64(1): 63-79
    [210] Milo Rotter, Dominique Boutard, Francois Ladieu, Patrick Pari, On the SiO_2 - OH capacitance low-temperature thermometers. Physica B: Condensed Matter, 2000,284(288): 1994-1995
    [211] Ch. Bayer, E. Bapin, Ph. Rudolf von Rohr, Overall kinetics of SiOx remote-PECVD using different organosilicon monomers. Surface and Coatings Technology, 1999, 116-119874-878
    [212] P. Favia, M. Creatore, F. Palumbo, V. Colaprico, R. d'Agostino, Process control for plasma processing of polymers. Surface and Coatings Technology, 2001,142-1441-6
    [213] F.S. Shieu, M.H. Shiao, Measurement of the interfacial mechanical properties of a thin ceramic coating on ductile substrates. Thin Solid Films, 1997,306(1): 124-129
    [214] I. Sanchez, G. Flamant, D. Gauthier, R. Flamand, J. M. Badie, G Mazza, Plasma-enhanced chemical vapor deposition of nitrides on fluidized particles. Powder Technology, 2001, 120(1-2): 134-140
    [215] V. Gottschalch, R. Schmidt, B. Rheinlander, D. Pudis, S. Hardt, J. Kvietkova, G. Wagner, R. Franzheld, Plasma-enhanced chemical vapor deposition of SiOx/SiNx Bragg reflectors. Thin Solid Films, 2002,416(1-2): 224-232
    [216] G. Rochat, Y. Leterrier, P. Fayet, J.-A.E. Manson, Influence of substrate additives on the mechanical properties of ultrathin oxide coatings on poly(ethylene terephthalate). Surface and Coatings Technology, 2005,200(7): 2236-2242
    [217] K. Silmy, A. Hollander, A. Dillmann, J. Thomel, Micro-jet plasma CVD with HMDSO/O2. Surface and Coatings Technology, 2005,200(1-4): 368-371
    [218] B. Borer, Rudolf von Rohr, Growth structure of SiOx films deposited on various substrate particles by PECVD in a circulating fluidized bed reactor. Surface and Coatings Technology, 2005,200(1-4): 377-381
    [219] A. Bieder, A. Gruniger, Rudolf von Rohr, Deposition of SiO_x diffusion barriers on flexible packaging materials by PECVD. Surface and Coatings Technology, 2005,200(1-4): 928-931
    [220] G. Rochat, Y. Leterrier, P. Fayet, J.-A.E. Manson, Stress controlled gas-barrier oxide coatings on semi-crystalline polymers. Thin Solid Films, 2005,484(1-2): 94-99
    [221] John Madocks, Jennifer Rewhinkle, Loren Barton, Packaging barrier films deposited on PET by PECVD using a new high density plasma source. Materials Science and Engineering B, 2005, 119(3): 268-273
    [222]F.Kessler,D.Herrmann,M.Powalla,Approaches to flexible CIGS thin-film solar cells.Thin Solid Films,2005,480-481491-498
    [223]A.Irrera,F.Iacona,G.Franzo,S.Boninelli,D.Pacifici,M.Miritello,C.Spinella,D.Sanfilippo,G.Di Stefano,P.G.Fallica,F.Priolo,Correlation between electroluminescence and structural properties of Si nanoclusters.Optical Materials,2005,27(5):1031-1040
    [224]B.Borer,A.Sonnenfeld,Ph.Rudolf von Rohr,Influence of substrate temperature on morphology of SiOx films deposited on particles by PECVD.Surface and Coatings Technology,2006,201(3-4):1757-1762
    [225]David Pech,Philippe Steyer,Anne-Sophie Loir,Juan Carlos Sanchez-Lopez,Jean-Pierre Millet,Analysis of the corrosion protective ability of PACVD silica-based coatings deposited on steel.Surface and Coatings Technology,2006,201(1-2):347-352
    [226]R.G.Elliman,T.D.M.Weijers-Dall,M.G.Spooner,Tae-Hyun Kim,A.R.Wilkinson,Stress and stress relief in dielectric thin films-the role of hydrogen.Nuclear Instruments and Methods in Physics Research Section B:Beam Interactions with Materials and Atoms,2006,249(1-2):310-313
    [227]Sabine Szunerits,Rabah Boukherroub,Electrochemical investigation of gold/silica thin film interfaces for electrochemical surface plasmon resonance studies.Electrochemistry Communications,2006,8(3):439-444
    [228]L.Dal Negro,M.Cazzanelli,N.Daldosso,Z.Gaburro,L.Pavesi,F.Priolo,D.Pacifici,G.Franzo,F.Iacona,Stimulated emission in plasma-enhanced chemical vapour deposited silicon nanocrystals.Physica E:Low-dimensional Systems and Nanostructures,2003,16(3-4):297-308
    [229]Daniel Franta,Ivan Ohlidal,Vilma Burikova,Lenka Zajikova,Optical properties of diamond-like carbon films containing SiOx studied by the combined method of spectroscopic ellipsometry and spectroscopic reflectometry.Thin Solid Films,2004,455-456393-398
    [230]郭震宁,黄永箴,郭亨群,李世忱,王启明,a-SiO:H/a-SiO:H多层薄膜微结构的退火行为.半导体学报,2006,21(6):576-579
    [231]纪爱玲,马利波,刘澂,王永谦,纳米Si-SiO_x和Si-SiN_x复合薄膜的低温制备及其发光特性.物理学报,2004,53(11):3818-3822
    [232]陈维德,马智训,许振嘉,何杰,顾诠,梁建军,掺铒SiO_x1.54μm强的室温光致发光.发光学报,1993,20(1):55-59
    [233]Chang-Yong Chen,Wei-De Chen,Guo-Hua Li,Shu-Fang Song,Kun Ding,Zhen-Jia Xu,Role of amorphous silicon domains on Er~(3+)emission in the Er-doped hydrogenated amorphous silicon suboxide film.Chinese Physics,2003,12(4):438-442
    [234]Jia-Xin Mei,Jun Xu,Zhong-Yuan Ma,Da Zhu,Yan-Ping Sui,Wei Li,Xin Li,Yun-Jun Rui,Xin-Fan Huang,Kun-Ji Chen,Structural evolution of a-Si:H/SiO_2 multilayers upon step by step thermal annealing.Chinese Physics,2004,13(8):1365-1369
    [235]Y.Matsumoto,F.Melendez,R.Asomoza,Performance of p-type silicon-oxide windows in amorphous silicon solar cell Solar Energy Materials and Solar Cells,2001,66(1-4):163-170
    [236]Friedrich Kessler,Dominik Rudmann,Technological aspects of flexible CIGS solar cells and modules.Solar Energy,2004,77(6):685-695
    [237]Y.Matsumoto,F.Melendez,R.Asomoza,Plasma CVD deposited p-type silicon oxide wide-bandgap material for solar cells.Solar Energy Materials and Solar Cells,1998,52(3-4):251-260
    [238]F.Fracassi,R.d'Agostino,F.Palumbo,E.Angelini,S.Grassini,F.Rosalbino,Application of plasma deposited organosilicon thin films for the corrosion protection of metals.Surface and Coatings Technology,2003,174-175107-111
    [239]Won Jae Yang,Tohru Sekino,Kwang Bo Shim,Koichi Niihara,Keun Ho Auh,Microstructure and tribological properties of SiOx/DLC films grown by PECVD.Surface and Coatings Technology,2005,194(1):128-135
    [240]B.Diaz,J.A.Rodriguez,M.Riera,A.Llobera,C.Dominguez,J.Tutor,Optical properties of silicon rich silicon oxides obtained by PECVD.Microelectronics Journal,2004,35(1):65-67
    [241]Keiji Maeda,Naomichi Sakamoto,Ikurou Umezu,A comparative study on structural and electronic properties of PECVD a-SiOx with a-SiNx.Journal of Non-Crystalline Solids,1995,187287-290
    [242]Zhixun Ma,Xianbo Liao,Gonglin Kong,Junhao Chu,Absorption spectra of nanocrystalline silicon embedded in SiO_2 matrix.Materials Letters,2000,42(6):367-370
    [243] J. P. Deville, B. Lang, P. Raynaud, An AES study of the influence of carbon on the chemical structure of some oxide films deposited by PECVD of organosilicon precursors. Applied Surface Science, 1999,137(1-4): 136-141
    [244] F. Fracassi, R. d'Agostino, F. Palumbo, F. Bellucci, T. Monetta, Deposition of gold-containing siloxane thin films. Thin Solid Films, 1996,272(1): 60-63
    [245] Pavel V. Bulkin, Pieter L. Swart, Beatrys M. Lacquet, Electron cyclotron resonance plasma enhanced chemical vapour deposition and optical properties of SiO_x thin films. Journal of Non-Crystalline Solids, 1998,226(1-2): 58-66
    [246] Mu-Rong Yang, Ko-Shao Chen, Shao-Ta Hsu, Tzong-Zeng Wu, Fabrication and characteristics of SiO_x films by plasma chemical vapor deposition of tetramethylorthosilicate. Surface and Coatings Technology, 2000,123(2-3): 204-209
    [247] P. Mandracci, S. Ferrero, C. Ricciardi, L. Scaltrito, G. Richieri, C. Sgorlon, Low temperature growth of SiO_2 on SiC by plasma enhanced chemical vapor deposition for power device applications. Thin Solid Films, 2003,427(1-2): 142-146
    [248] Jung H. Lee, Dong S. Kim, Young H. Lee, Bakhtier Farouk, Mechanical properties of a-C:H and a-C:H/SiO_x nanocomposite thin films prepared by ion-assisted plasma-enhanced chemical vapordeposition. Thin Solid Films, 1996,280(1-2): 204-210
    [249] Yizhou Song, Takeshi Sakurai, Koichi Kishimoto, Kazuhiko Maruta, Shigeharu Matsumoto, Kazuo Kikuchi, Optical and structural properties of low-temperature PECVD ETMS SiO_x thin films. Thin Solid Films, 1998, 334(1-2): 92-97
    [250] F. N. Timofeev, A. Aydinli, R. Ellialtioglu, K. Turkoglu, M. Gure, V. N. Mikhailov, O. A. Lavrova, Visible photoluminescence from SiO_x films grown by low temperature plasma enhanced chemical vapor deposition. Solid State Communications, 1995, 95(7): 443-447
    [251] F. Nicolazo, A. Goullet, A. Granier, C. Vallee, G. Turban, B. Grolleau, Study of oxygen/TEOS plasmas and thin SiOx films obtained in an helicon diffusion reactor. Surface and Coatings Technology, 1998, 98(1-3): 1578-1583
    [252] Mariadriana Creatore, Fabio Palumbo, Riccardo d'Agostino, Pierre Fayet, RF plasma deposition of SiO2-like films: plasma phase diagnostics and gas barrier film properties optimisation. Surface and Coatings Technology, 2001,142-144163-168
    [253] A. Borghesi, A. Sassella, B. Pivac, L. Zanotti, Si—H bonding configuration in SiOx: N,H films deposited by chemical vapor deposition. Solid State Communications, 1996, 100(9): 657-661
    [254] Zhi-xun Ma, Xian-bo Liao, Jie He, Guo-zhen Yue, Yong-qian Wang, Wen-chao Cheng, Guang-lin Kong, Strong visible photoluminescence from amorphous silicon grains in a-SiOx : H films. Solid State Communications, 1997, 104(10): 587-591
    [255] R. B. Wehrspohn, M. Zhu, C. Godet, Visible photoluminescence and its mechanisms from a-SiOx : H films with different stoichiometry. Journal of Luminescence, 1998, 80(1-4): 449-453
    [256] B. J. Hinds, F. Wang, D. M. Wolfe, C. L. Hinkle, G. Lucovsky, Study of SiOx decomposition kinetics and formation of Si nanocrystals in an SiO2 matrix. Journal of Non-Crystalline Solids, 1998,227-230 Part 1507-512
    [257] Yizhou Song, Takeshi Sakurai, Koichi Kishimoto, Kazuhiko Maruta, Shigeharu Matsumoto, Kazuo Kikuchi, Syntheses and optical properties of low-temperature SiOx and TiOx thin films prepared by plasma enhanced CVD. Vacuum, 1998, 51(4): 525-530
    [258] M. C. Rossi, S. Salvatori, F. Scrimizzi, F. Galluzzi, R. Janssen, M. Stutzmann, a-SiOx : H thin film light emitting devices for Si-based optoelectronics. Journal of Luminescence, 1998, 80(1-4): 405-409
    [259] F. Yun, B. J. Hinds, S. Hatatani, S. Oda, Q. X. Zhao, M. Willander, Study of structural and optical properties of nanocrystalline silicon embedded in SiO2. Thin Solid Films, 2000, 375(1-2): 137-141
    [260] Giorgia Franzo, Fabio Iacona, Corrado Spinella, Silvia Cammarata, Maria Grazia Grimaldi, Size dependence of the luminescence properties in Si nanocrystals. Materials Science and Engineering B, 2000, 69-70454-458
    [261] M. Bedjaoui, B. Despax, M. Caumont, C. Bonafos, Si nanocrystal-containing SiOx (x < 2) produced by thermal annealing of PECVD realized thin films. Materials Science and Engineering: B, 2005, 124-125508-512
    [262] D.S. Wuu, W.C. Lo, C.C. Chiang, H.B. Lin, L.S. Chang, R.H. Horng, C.L. Huang, Y.J. Gao, Plasma-deposited silicon oxide barrier films on polyethersulfone substrates: temperature and thickness effects. Surface and Coatings Technology, 2005, 197(2-3): 253-259
    [263] R. Schmidt-Grund, T. Nobis, V. Gottschalch, B. Rheinlander, H. Herrnberger, M. Grundmann, a-Si/SiOx Bragg-reflectors on micro-structured InP. in Solid Films, 2005,483(1-2): 257-260
    [264]A.Toth,M.Mohai,T.Ujvari,I.Bertoti,Chemical structure of silicon-,oxygen- and nitrogen-containing a-C:H films prepared by RF plasma beam CVD.Thin Solid Films,2005,482(1-2):183-187
    [265]X.Y.Chen,Y.F.Lu,Y.H.Wu,B.J.Cho,L.J.Tang,D.Lu,J.R.Dong,Correlation between optical properties and Si nanocrystal formation of Si-rich Si oxide films prepared by plasma-enhanced chemical vapor deposition.Applied Surface Science,2006,253(5):2718-2726
    [266]J.C.Damasceno,Jr.S.S.Camargo,DLC-SiOx nanocomposite films deposited from CH4:SiH4:O2 gas mixtures.Surface and Coatings Technology,2006,200(22-23):6279-6282
    [267]Daniel Franta,Ivan Ohlidal,Vihna Burikova,Lenka Zajikova,Optical properties of diamond-like carbon films containing SiOx.Diamond and Related Materials,2003,12(9):1532-1538
    [268]M.Goujon,T.Belmonte,G.Henrion,OES and FTIR diagnostics of HMDSO/O2 gas mixtures for SiOx deposition assisted by RF plasma.Surface and Coatings Technology,2004,188-189756-761
    [269]剧美丽,陈强,岳蕾,葛袁静,四甲基硅氧烷制备SiOx低表面能薄膜.包装工程,2006,27(4):68-70
    [270]周关丽,陈强,岳蕾,葛袁静,聚合单体对制备SiOx高阻隔性薄膜性能的影响.包装工程,2006,27(6):37-39
    [271]王永谦,陈长勇,陈维德,杨富华,刁宏伟,a-Si:O:H薄膜微结构及其高温退火行为研究.物理学报,2002,50(12):2418-2422
    [272]郭震宁,郭亨群,王加贤,张文珍,李世忱,nc-Si/a-SiOx:H复合薄膜的结构及光吸收特性.功能材料与器件学报,2001,7(2):179-182
    [273]史国华,韩高荣,杜丕一,赵高凌,沈鸽,张溪文,PECVD方法直接合成硅氧纳米复合薄膜nc-SiOx:H.真空科学与技术学报,2004,24(6):427-429
    [274]何乐年,PECVD非晶SiOx:H薄膜的Si-O-Si键红外吸收特性研究.真空科学与技术,2001,21(1):60-63
    [275]何乐年,等离子体化学气相沉积非晶SiOx:H(0≤x≤2.0)薄膜的红外光谱.半导体学报,2001,22(5):587-593
    [276]陈长勇,陈维德,王永谦,宋淑芳,许振嘉,郭少令,注铒a-SiO_x:H中Er~(3+)发光与薄膜微观结构的关系.半导体学报,2002,23(9):930-934
    [277]石旺舟,欧阳艳东,吴雪梅,姚伟国,氧、氮掺杂非晶硅基薄膜的荧光.发光学报,2001,22(1):71-74
    [278]马智训,廖显伯,何杰,程文超,岳国珍,王永谦,刁宏伟,孔光临,氢化非晶氧化硅薄膜光致发光起源的探讨.半导体学报,1999,20(2):162-167
    [279]王永谦,廖显伯,刁宏伟,程文超,李国华,陈长勇,张世斌,徐艳月,陈维德,孔光临,氢化非晶硅氧薄膜微结构.中国科学(A辑),2002,32(6):531-537
    [280]马智训,廖显伯,何杰,程文超,岳国珍,王永谦,刁宏伟,孔光临,SiO_x:H(0<x<2)溥膜光致发光的退火行为研究.物理学报,1998,47(6):1033-1040
    [281]L.He,T.Inokuma,Y.Kurata,S.Hasegawa,Vibrational properties of SiO and Sill in amorphous SiOx:H films(0<x<2.0)prepared by plasma-enhanced chemical vapor deposition.Journal of Non-Crystalline Solids,1995,185(3):249-261
    [282]Ikurou Umezu,Takatsugu Tsuchida,Keiji Maeda,A study of interface state density between a-Si:H and insulating layer in terms of plasma surface reaction.Journal of Non-Crystalline Solids,1998,227-230,Part 21235-1239
    [283]R.Janssen,U.Karrer,D.Dimova-Malinovska,M.Stutzmann,Amorphous silicon suboxide light-emitting diodes.Journal of Non-Crystalline Solids,1998,227-230 Part21151-1155
    [284]A.A.Dukin,N.A.Feoktistov,V.G.Golubev,A.V.Medvedev,A.B.Pevtsov,A.V.Sel'kin,a-Si:H/a-SiO_x:H microcavities with a-Si(Er):H active layer.Journal of Non-Crystalline Solids,2002,299-302,Part 1694-698
    [285]A.Janotta,R.Janssen,M.Schmidt,T.Graf,L.Gorgens,C.Hammed,S.Schreiber,G.Dollinger,A.Bergmaier,B.Stritzker,M.Stutzmann,Dependence of the doping efficiency on material composition in n-type a-SiO_x:H.Journal of Non-Crystalline Solids,2002,299-302,Part 1579-584
    [286]R.Etemadi,C.Godet,M.Kildemo,J.E.Bouree,R.Brenot,B.Drevillon,Dual-mode radio frequency/microwave plasma deposition of amorphous silicon oxide thin films.Journal of Non-Crystalline Solids,1995,18770-74
    [287]P.Knapek,K.Luterova,I.Pelant,A.Fejfar,J.Koka,J.Kudma,P.Maly,R.Janssen,M.Stutzmann,Electroluminescent properties of a-SiO_x:H alloys.Journal of Non-Crystalline Solids,1998,227-230 Part 21160-1163
    [288]A.Janotta,M.Schmidt,R.Janssen,Ch.Buchal,M.Stutzmann,Er~(3+)luminescence in a-SiO_x:H.Journal of Non-Crystalline Solids,2002,299-302 Part 1688-693
    [289]G.Suchaneck,O.Steinke,B.Alhallani,K.Schade,Oxygen-rich phase segregation in PECVD a-SiOx:H semi-insulators.Journal of Non-Crystalline Solids,,1995,187(1):86-90
    [290]R.Etemadi,C.Godet,J.Perrin,J.E.Bouree,B.Drevillon,C.Clerc,Hydrogen incorporation in dual-mode PECVD amorphous silicon oxide thin films.Surface and Coatings Technology,1996,80(1-2):8-12
    [291]R.Janssen,A.Janotta,M.Stutzmann,Thermal stability of p-type doped amorphous silicon suboxides.Journal of Non-Crystalline Solids,2000,266-269 Part 2840-844
    [292]A.Bacioglu,A.O.Kodolbas,O.Oktu,Deposition of highly photoconductive wide band gap a-SiOx:H thin films at a high temperature without H2-dilution.Solar Energy Materials and Solar Cells,2005,89(1):49-59
    [293]C.Y.Chen,W.D.Chen,S.F.Song,Z.J.Xu,X.B.Liao,Guo-Hua Li,L.F.Bian,Kun Ding,Correlation between Er3+ emission and Si clusters in Erbium-doped a-SiOx:H films.Physica E:Low-dimensional Systems and Nanostructures,2005,27(1-2):21-25
    [294]C.Dahmen,A.Janotta,D.Dimova-Malinovska,S.Marx,B.Jeschke,B.Nies,H.Kessler,M.Stutzmann,Surface functionalization of amorphous silicon and silicon suboxides for biological applications.Thin Solid Films,2003,427(1-2):201-207
    [295]A.Pfuch,R.Cihar,Deposition of SiOx thin films by microwave induced plasma CVD at atmospheric pressure.Surface and Coatings Technology,2004,183(2-3):134-140
    [296]M.Zhu,Y.Han,C.Godet,R.B.Wehrspohn,Photoluminescence from hydrogenated amorphous silicon oxide thin films.Journal of Non-Crystalline Solids,1999,254(1-3):74-79
    [297]N.Benissad,K.Aumaille,A.Granier,A.Goullet,Structure and properties of silicon oxide films deposited in a dual microwave-rf plasma reactor.Thin Solid Films,2001,384(2):230-235
    [298]韩尔立,陈强,张广秋,武昌平,大气压聚合SiOx薄膜用于新型印刷板材的研究.包装工程,2005,26(4):1-3
    [299]S.K.Nema,P.M.Raole,S.Mukherjee,P.Kikani,P.I.John,Plasma polymerization using a constricted anode plasma source.Surface and Coatings Technology,2004,179(2-3):193-200
    [300]韩尔立,陈强,张跃飞,陈飞,葛袁静,DBD等离子体枪聚合SiOx薄膜用于金属表面防腐性能研究.机械设计与研究,2006,22(2):86-88
    [301]汤文杰,韩尔立,陈强,葛袁静,单体对大气下沉积SiOx薄膜的性能研究.包装工程,2006,27(4):56-58
    [302]韩尔立,陈强,葛袁静,射频等离子体聚合SiOx薄膜的研究.真空科学与技术学报,2006,26(6):482-486
    [303]D.Martin Knotter,Application and properties of sub-monomolecular layers of silicon dioxide deposited under mild conditions.Applied Surface Science,1996,99(2):99-110
    [304]Meng Ouyang,Peter P.Klemchuk,Jeffrey T.Koberstein,Exploring the effectiveness of SiO_x coatings in protecting polymers against photo-oxidation.Polymer Degradation and Stability,2000,70(2):217-228
    [305]Attila Olah,Henrik Hillborg,G.Julius Vancso,Hydrophobic recovery of UV/ozone treated poly(dimethylsiloxane):adhesion studies by contact mechanics and mechanism of surface modification.Applied Surface Science,2005,239(3-4):410-423
    [306]M.Ouyang,R.J.Muisener,A.Boulares,J.T.Koberstein,UV-ozone induced growth of a SiO_x surface layer on a cross-linked polysiloxane film:characterization and gas separation properties.Journal of Membrane Science,2000,177(1-2):177-187
    [307]Akihiko Hattori,Tetsuro Kawahara,Takashi Uemoto,Fumiaki Suzuki,Hiroaki Tada,Seishiro Ito,Ultrathin SiO_x Film Coating Effect on the Wettability Change of TiO_2 Surfaces in the Presence and Absence of UV Light Illumination.Journal of Colloid and Interface Science,2000,232(2):410-413
    [308]Ren-De Sun,Akira Nakajima,Toshiya Watanabe,Kazuhito Hashimoto,Decomposition of gas-phase octamethyltrisiloxane on TiO_2 thin film photocatalysts—catalytic activity,deactivation,and regeneration.Journal of Photochemistry and Photobiology A:Chemistry,2003,154(2-3):203-209
    [309] Patrik Patzner, Andrey V. Osipov, Peter Hess, Real-time analysis of UV laser-induced growth of ultrathin oxide films on silicon by spectroscopic ellipsometry. Applied Surface Science, 2005, 247(1-4): 204-210
    [310] Tapati Jana, Swati Ray, Microcrystalline silicon phase in silicon oxide thin films developed by photo-CVD technique Thin Solid Films, 2000, 376(1-2): 241-248
    [311] Tapati Jana, Swati Ray, Boron-doped a-SiO_x:H fims prepared by photo-CVD technique. Journal of Non-Crystalline Solids, 1999,260(3): 188-194
    [312] A. V. Kabashin, M. Meunier, Fabrication of photoluminescent Si-based layers by air optical breakdown near the silicon surface. Applied Surface Science, 2002, 186(1-4): 578-582
    [313] Q. Fang, J. -Y. Zhang, Z. M. Wang, J. X. Wu, B. J. O'Sullivan, P. K. Hurley, T. L. Leedham, H. Davies, M A. Audier, C. Jimenez, J.-P. Senateure, Ian W. Boyda, Interface of tantalum oxide films on silicon by UV annealing at low temperature. Thin Solid Films, 2003,428(1-2): 248-252
    [314] Christopher K. Buck, Anthony J. Pedraza, Roberto S. Benson, Jae-Won Park, VUV-light-induced deposited silica films. Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, 1998, 141(1-4): 675-678
    [315] Housei Akazawa, Competing thermal relaxation processes in response to intrinsic defects produced by exposing SiO2 to synchrotron radiation. Applied Surface Science, 2002, 190(1-4): 26-29
    [316] H. Hillborg, J. F. Ankner, U. W. Gedde, G. D. Smith, H. K. Yasuda, K. Wikstrom, Crosslinked polydimethylsiloxane exposed to oxygen plasma studied by neutron refiectometry and other surface specific techniques. Polymer, 2000,41(18): 6851-6863
    [317] 贺建,黄运华,张跃,顾有松,纪箴,周成,ZnO纳米电缆的制备、结构和生长机理.物理化学学报,2005,21(6):637-640
    [318] Semsettin Altindal, Adem Tataroglu, Ilbilge Dokme, Density of interface states, excess capacitance and series resistance in the metal-insulator-semiconductor (MIS) solar cells Solar Energy Materials and Solar Cells, 2005, 85(3): 345-358
    [319] H. Aguas, E. Fortunato, V. Silva, L. Pereira, R. Martins, High quality a-Si:H films for MIS device applications. Thin Solid Films, 2002,403-40426-29
    [320] Zhen Zhang, Per-Erik Hellstrom, Jun Lu, Mikael Ostling, Shi-Li Zhang, A novel self-aligned process for platinum silicide nanowires. Microelectronic Engineering, 2006, 83(11-12): 2107-2111
    [321] A. Sassella, B. Pivac, T. Abe, A. Borghesi, Surface mode excitation in platelet SiOx precipitates in silicon. Materials Science and Engineering B, 1996, 36(1-3): 221-224
    [322] G. Lucovsky, A. Banerjee, B. Hinds, B. Claflin, K. Koh, H. Yang, Minimization of Sub-Oxide Transition Regions at Si-SiO2 Interfaces by 900℃ Rapid Thermal Annealing. Microelectronic Engineering, 1997, 36(1-4): 207-210
    [323] Jean-Yves Rosaye, Norihiko Kurumado, Mitsuo Sakashita, Akira Sakai Hiroya Ikeda, Pierre Mialhe, Jean-Pierre Charles, Shigeaki Zaima, Yukio Yasuda, Yurihiko Watanabe, Characterization of defect traps in SiO2 thin films influence of temperature on defects.Microelectronics Journal, 2002, 33(5-6): 429-436
    [324] Atsushi Ando, Kunihiro Sakamoto, Kazushi Miki, Kazuhiko Matsumoto, Tsunenori Sakamoto, Characterization of ultrathin SiOx layers formed on a spatially controlled atomic-step-free Si(001) surface. Applied Surface Science, 1999, 144-145589-592
    [325] Moonho Lee, Mina Yoo, Detectivity of thin-film NTC thermal sensors. Sensors and Actuators A: Physical, 2002, 96(2-3): 97-104
    [326] N. Pic, A. Glachant, S. Nitsche, J. Y. Hoarau, D. Goguenheim, D. Vuillaume, A. Sibai, J. -L. Autran, Determination of the electrical properties of 2.5 nm thick silicon-based dielectric films: thermally grown SiO_x. Journal of Non-Crystalline Solids, 2001,280(1-3): 69-77
    [327] L. Xie, Y. Zhao, M. H. White, Interfacial oxide determination and chemical/electrical structures of HfO_2/SiO_x/Si gate dielectrics. Solid-State Electronics, 2004,48(10-11): 2071-2077
    [328] M. Fernandes, Yu. Vygranenko, R. Schwarz, M. Vieira, ITO/SiOx/Si optical sensor with internal gain. Sensors and Actuators A: Physical, 2001, 92(1-3): 152-155
    [329] G. Weidner, D. Kruger, M. Weidner, T. Grabolla, Nitrogen incorporation during N_2O- and NO-oxidation of silicon at temperatures down to 600℃. Microelectronics Journal, 1996, 27(7): 647-656
    [330] Kenji Yamada, Satoshi Nishigaki, Oxidation of a Si(001) surface mediated by repetitive adsorption/desorption cycles of Cs: a metastable deexcitation spectroscopy study. Applied Surface Science, 1996, 99(1): 21-26
    [331]S.Kallel,B.Semmache,M.Lemiti,A.Laugier,Rapid thermal oxidation of highly in situ phosphorus doped polysilicon thin films.Materials Science in Semiconductor Processing,1998,1(3-4):275-279
    [332]M.Fernandes,Yu.Vygranenko,R.Schwarz,M.Vieira,C.Nunes Carvalho,Photocurrent multiplication in ITO/SiOx/Si optical sensors.Vacuum,2002,65(1):67-71
    [333]Dorjderem Nyamjav,Albena Ivanisevic,Templates for DNA-templated Fe3O4 nanoparticles.Biomaterials,2005,26(15):2749-2757
    [334]J.Wang,Th.Weimann,P.Hinze,G.Ade,D.Schneider,T.Rabe,T.Riedl,W.Kowalsky,A continuously tunable organic DFB laser.Microelectronic Engineering,2005,78-79364-368
    [335]K.Morimoto,Francesc Perez-Murano,J.A.Dagata,Density variations in scanned probe oxidation.Applied Surface Science,2000,158(3-4):205-216
    [336]Hiroyuki Sugimura,Nobuyuki Nakagiri,Organic monolayer spontaneously formed on spatially arranged nanostructures of silicon oxide and gold.Thin Solid Films,1996,273(1-2):245-249
    [337]陈军,辛煜,许圣华,宁兆元,陆新华,ECR-CVD制备的SiOx/a-C:F/SiOx多层膜的结构与介电性质.功能材料与器件学报,2004,10(2):155-159
    [338]J.H.Burkhart,D.Denison,J.C.Barbour,C.A.Apblett,Composition analysis of ECR-grown SiO_2 and SiO_xF_y films.Nuclear Instruments and Methods in Physics Research Section B:Beam Interactions with Materials and Atoms,1996,118(1-4):698-703
    [339]Tetsuo Takahashi,Ryuichi Shimokawa,Yasuhiro Matsumoto,Kenichi Ishii,Toshihiro Sekigawa,Recrystallization of polycrystalline silicon films on ceramics by electron beam.Solar Energy Materials and Solar Cells,1997,48(1-4):327-333
    [340]E.San AndrOs,A.del Prado,I.Martil,G.Gonzalez Diaz,F.L.Martinez,D.Bravo,F.J.Lopez,Rapid thermal annealing effects on plasma deposited SiOx-H films.Vacuum,2002,67(3-4):531-536
    [341]E.San Andres,A.del Prado,I.Martil,G.Gonzalez,F.L.Martinez,D.Bravo,F.J.Lopez,M.Fernandez,Physical properties of plasma deposited SiOx thin films.Vacuum,2002,67(3-4):525-529
    [342]E.San Andres,A.del Prado,I.Martil,G.Gonzalez-Diaz,W.Bohne,J.Rohrich,B.Selle,I.Sieber,M.Fernandez,Oxygen to silicon ratio determination of SiOxHy thin films.Thin Solid Films,2005,492(1-2):232-235
    [343]M.Walker,F.Meermann,J.Schneider,K.Bazzoun,J.Feichtinger,A.Schulz,J.Kruger,U.Schumacher,Investigations of plasma polymerized barrier films on polymeric materials.Surface and Coatings Technology,2005,200(1-4):947-952
    [344]A.Toth,M.Mohai,T.Ujvari,I.Bertoti,Nanomechanical properties of silicon-,oxygen- and nitrogen-containing a-C:H films prepared by RF plasma beam CVD.Thin Solid Films,2005,482(1-2):188-191
    [345]S.Duenas,H.Castan,H.Garcia,J.Barbolla,E.San Andres,I.Martil,G.Gonzalez-Diaz,On the influence of substrate cleaning method and rapid thermal annealing conditions on the electrical characteristics of Al/SiNx/SiO2/Si fabricated by ECR-CVD.Microelectronics and Reliability,2005,45(5-6):978-981
    [346]A.Toth,M.Mohai,T.Ujvari,I.Bertoti,Surface and nanomechanicai properties of Si:C:H films prepared by RF plasma beam CVD.Diamond and Related Materials,2005,14(3-7):954-958
    [347]张仕国,樊瑞新,邓晓清,袁骏,陈伟,反应蒸发制备nmSi—SiOz发光薄膜.真空科学与技术,1999,19(9):331-335
    [348]樊瑞新,反应蒸发沉积的纳米硅-氧化硅薄膜的发光及其氧的存在形态.真空科学与技术,2000,20(2):103-107
    [349]U.Kahler,H.Hofmeister,Visible light emission from Si nanocrystalline composites via reactive evaporation of SiO.Optical Materials,2001,17(1-2):83-86
    [350]S.Zhang,W.Zhang,J.Yuan,The preparation of photoluminescent Si nanocrystal-SiO_X films by reactive evaporation.Thin Solid Films,1998,326(1-2):92-98
    [351]H.Rinnert,O.Jambois,M.Vergnat,M.Molinari,Study of the photoluminescence of amorphous and crystalline silicon clusters in SiOx thin films.Optical Materials,2005,27(5):983-987
    [352]O.Jambois,M.Molinari,H.Rinnert,M.Vergnat,Photoluminescence and electroluminescence of amorphous SiOx films prepared by reactive evaporation of silicon with oxygen.Optical Materials,2005,27(5):1074-1078
    [353]K.Takatsuji,M.Kawakami,Y.Makita,K.Murakami,H.Nakayama,Y.Miura,N.Shimoyama,H.Machida,Characterization of Cat-CVD grown SiC and Si-C-O dielectric films for ULSI applications.Thin Solid Films,2003,430(1-2):116-119
    [354]M.Saadoun,B.Bessaf"s,N.Mliki,M.Ferid,H.Ezzaouia,R.Bennaceur,Formation of luminescent(NH4)2SiF6 phase from vapour etching-based porous silicon.Applied Surface Science,2003,210(3-4):240-248
    [355]谢荣国,席珍强,马向阳,袁俊,杨德仁,用化学腐蚀制备多孔硅太阳电池减反射膜的研究.材料科学与工程,2002,20(4):507-509
    [356]韩永召,李炳宗,茹国平,屈新萍,曹永峰,棘蓓蕾,蒋玉龙,王连卫,张荣耀,朱剑豪,SiO_x调制的三元硅化物(Co_(1-x)Ni_x)Si_2外延.半导体学报,2001,22(10):1269-1273
    [357]T.V.Torchynska,Yu.V.Vorobiev,Ballistic effect and new concept of Si wire photoluminescence.Microelectronic Engineering,2003,66(1-4):17-25
    [358]T.V.Torchynska,M.Morales Rodriguez,L.Yu.Khomenkova,Ballistic effect and photoluminescence excitation in porous silicon.Surface Science,2003,532-5351204-1208
    [359]T.V.Torchynska,M.Morales Rodriguez,A.Vivas Hemandez,K.W.Cheah,Ballistic regime and photoluminescence excitation in Si wires and dots.Journal of Luminescence,2003,102-103551-556
    [360]Juin-Jie Chang,Tsung-Eong Hsieh,Chuan-Pu Liu,Ying-Lang Wang,Uniform CoSi2nano-nucleus formation by oxide mediated silicidation with a Ti capping layer.Thin Solid Films,2006,498(1-2):85-89
    [361]郭常新,张学兵,李碧琳,蓝光发射和红光发射多孔硅的XPS分析.发光学报,1997,18(2):127-132
    [362]刘小兵,熊祖洪,史向华,袁帅,廖良生,经Al_2O_3与SiO_x钝化的多孔硅及其光致发光特性.半导体学报,2000,21(1):38-43
    [363]黎学明,杨建春,陈伟民,黄尚廉,李虎,基于多孔硅光激荧光淬灭效应的SO_2传感器.光电子激光,2001,12(10):992-995
    [364]严勇健,吴雪梅,诸葛兰剑,硅多孔层分离后稳定的可见蓝紫光发射特性.苏州大学学报(自然科学版),2003,19(4):74-79
    [365]张学兵,郭常新,高温氧化多孔硅的电子束辐照效应.发光学报,1996,17(2):111-115
    [366]G.P.Polupan,T.V.Torchynska,J.Palacios Gomez,H.A.Flores Gonzalez,F.G.Bacarril Espinoza,A.Ita Torre,B.M.Bulakh,L.V.Scherbina,Comparative investigations of surface structure,photoluminescence and its excitation in silicon wires.Journal of Electron Spectroscopy and Related Phenomena,2001,114-116235-241
    [367]Qingchun Zhao,Wenming Chen,Qingren Zhu,Preparation of nanoporous SiOx materials via interpenetrating polymer network method.Materials Letters,2003,57(22-23):3606-3608
    [368]Yingjiu Zhang,Qi Zhang,Nanlin Wang,Yunjie Yan,Huihua Zhou,Jing Zhu,Synthesis of thin Si whiskers(nanowires)using SiCl4.Journal of Crystal Growth,2001,226(2-3):185-191
    [369]C.L.Yeh,E.Zhao,H.K.Ma,Combustion Synthesis of SiO2 on the Aluminum Plate.Journal of Thermal Science,2001,10(1):92-96
    [370]BYOUNGTAE PARK,YONGHWAN RYU,KIJUNG YONG,GROWTH AND CHARACTERIZATION OF SILICON CARBIDE NANOWIRES.Surface Review and Letters 2004,11(4-5):373-378
    [371]张际亮,郦剑,沃银花,王幼文,沈复初,甘正浩,铝表面化学气相沉积SiO_x膜层的显微结构和性能.中国有色金属学报,2004,14(6):961-966
    [372]张际亮,沃银花,郦剑,甘正浩,徐亚伯,铝基APCVD沉积SiOx膜层的光学性能研究.浙江大学学报(工学版),2005,39(8):1243-1246
    [373]F.G.Becerril-Espinoza,T.V.Torchynska,M.Morales Rodriguez,L.Khomenkova,L.V.Scherbina,Formation of Si/SiO_x interface and its influence on photoluminescence of Si nano-crystallites.Microelectronics Journal,2003,34(5-8):759-761
    [374]T.V.Torchynska,A.Diaz Cano,M.Morales Rodriguez,L.Yu.Khomenkova,Hot carriers and excitation of Si/SiOx interface defect photoluminescence in Si nanocrystallites.Physica B:Condensed Matter,2003,340-3421113-1118
    [375]Qingchun Zhao,Wenming Chen,Qingren Zhu,Self-assembly and characterization of novel amorphous SiOx(x=2.1)quantum dots using polyacrylamide gel networks as template.Journal of Non-Crystalline Solids,2004,343(1-3):163-165
    [376]Kyung Joong Kim,Dae Won Moon,Seung-Hui Hong,Suk-Ho Choi,Moon-Seung Yang,Ji-Hong Jhe,Jung H.Shin,In situ characterization of stoichiometry for the buried SiOx layers in SiOx/SiO2 superlattices and the effect on the photoluminescence property.Thin Solid Films,2005,478(1-2):21-24
    [377]F.Teixeira,R.Berjoan,G.Peraudeau,D.Perarnau,Solar preparation of SiOx(x≈1)nanopowders from silicon vaporisation on a ZrO2 pellet.XPS and photoluminescence characterisation.Solar Energy,2005,78(6):763-771
    [378]Jae-In Yu,D.L.Kim,D.Y.Lee,Jae-Gon Yun,In-Ho Bae,J.H.Lee,Optical properties in porous Si investigated by an anodization current variation of photoluminescence spectra.Physica E:Low-dimensional Systems and Nanostructures,2005,28(1):93-95
    [379]S.Aouida,M.Saadoun,K.Ben Saad,B.Bessais,Phase transition and luminescence properties from vapor etched silicon.Thin Solid Films,2006,495(1-2):357-360
    [380]Y.Yang,B.K.Tay,X.W.Sun,H.M.Fan,Z.X.Shen,Photoluminescence and growth mechanism of amorphous silica nanowires by vapor phase transport.Physica E:Low-dimensional Systems and Nanostructures,2006,31(2):218-223
    [381]Yoshikazu Nakayama,Masashi Uecha,Tohru Ikeda,Photoluminescence from silicon chainlike structures in a-SiOx:H films.Journal of Non-Crystalline Solids,1996,198-200 Part 2915-918
    [382]G.Lucovsky,A.Banerjee,H.Niimi,K.Koh,B.Hinds,C.Meyer,G.Lupke,H.Kurz,Elimination of sub-oxide transition regions at Si-SiO_2 interfaces by rapid thermal annealing at 900℃.Applied Surface Science,1997,117-118202-206
    [383]Fabio Iacona,Giorgia Franzo,Vincenzo Vinciguerra,Alessia Irrera,Francesco Priolo,Influence of the spatial arrangement on the quantum confinement properties of Si nanocrystals.Optical Materials,2001,17(1-2):51-55
    [384]G.Kocher,H.Przybylinska,M.Stepikhova,L.Palmetshofer,W.Jantsch,Erbium in SiO_x environment:ways to improve the 1.54μm emission.Physica B:Condensed Matter,2001,308-310344-347
    [385]E.I.Terukov,Yu.K.Undalov,V.Kh.Kudoyarova,K.V.Koughia,J.P.Kleider,M.E.Gueunier,R.Meaudre,Improvement of 1.54μm luminescence in erbium-doped a-SiOx:H alloys.Journal of Non-Crystalline Solids,2002,299-302 Part 1699-703
    [386]Kang-Ju Lee,Tae-Dong Kang,Hosun Lee,Seung Hui Hong,Suk-Ho Choi,Tae-Yeon Seong,Kyung Joong Kim,Dae Won Moon,Optical properties of SiO2/nanocrystalline Si multilayers studied using spectroscopic ellipsometry.Thin Solid Films,2005,476(1):196-200
    [387]Maonong XIE,Shaonua BAI,Hejian FU,Hong MA,Feature of Polysilane(UV Light Sensitive Materials)Treated by O2-plasma.Semiconductor Photonics and Technology,1998,4(4):235-237
    [388]谢茂浓,傅鹤鉴,聚硅烷(紫外光敏材料)的氧等离子体处理特性.半导体学报,1999,20(6):525-528
    [389]Y.Takeda,J.Yang,N.Imanishi,Advanced composite anodes containing lithium cobalt nitride for secondary lithium battery.Solid State Ionics,2002,152-15335-41
    [390]J.Yang,Y.Takeda,N.Imanishi,C.Capiglia,J.Y.Xie,O.Yamamoto,SiOx-based anodes for secondary lithium batteries.Solid State Ionics,2002,152-153125-129
    [391]Y.F.Zhu,C.H.Xu,B.Wang,C.H.Woo,Measurement of attraction force between AFM tip and surface of dielectric thin films with DC-bias.Computational Materials Science,2005,33(1-3):53-58
    [392]Y.Xin,Z.Y.Ning,C.Ye,S.H.Xu,J.Chen,X.H.Lu,The structural and dielectric properties of SiOx/a-C,F/SiOx multi-layer thin films deposited by microwave electron cyclotron resonance plasma method.Thin Solid Films,2005,472(1-2):44-48
    [393]Andrea Ghetti,Hot-electron induced MOSFET gate current simulation by coupled silicon/oxide Monte Carlo device simulation.Solid-State Electronics,2003,47(9):1507-1514
    [394]Liang-Yih Chen,Franklin Chau-Nan Hong,Effects of SiO_x-incorporation hydrocarbons on the tribologicai properties of DLC films.Diamond and Related Materials,2001,10(3-7):1058-1062
    [395]D.Hegemann,U.Vohrer,C.Oehr,R.Riedel,Deposition of SiO_x films from O_2/HMDSO plasmas.Surface and Coatings Technology,1999,116-1191033-1036
    [396]闫春绵,张忠厚,白宝丰,李诚,赵德强,纳米SiOx/环氧树脂复合材料性能研究.现代塑料加工应用,2005,17(4):24-26
    [397]唐明,巴恒静,李颖,纳米级SiOx与硅灰对水泥基材料的复合改性效应研究.硅酸盐学报,2003,31(5):523-526
    [398]陈江涛,唐波,赵云峰,纳米SiOx改性6109硅橡胶.硅铝化合物,2004,(2):22-23
    [399]刘东辉,李星,王春莉,张健,纳米SiOx对微发泡天然乳胶材料力学性能影响的研究.特种橡胶制品,2002,23(3):19-21
    [400]杨波,刘东辉,李菊兰,纳米SiOx改性天然胶乳用表面处理剂的选择.特种橡胶制品,2006,27(5):18-21
    [401]郭亚林,梁国正,丘哲明,冯喜利,成敏苏,纳米SiOx改性室温硫化硅橡胶研究.弹性体,2003,13(1):19-22
    [402]周文英,李海东,牛国良,张娴,纳米SiOx改性不饱和聚酯树脂.纤维复合材料,2003,(4):14-17
    [403]张洪亮,黄志杰,韩忠,马庆维,纳米SiOx改性PP/POE复合材料冲击性能的研究.工程塑料应用,2002,30(11):4-6
    [404]梁正海,何朝远,赵东波,纳米材料改善玻纤滤料表面处理性能的研究.玻璃纤维,2004,(5):21-27
    [405]刘元寿,张永茂,颉敏华,李玉梅,张霁红,康三江,果蜡保鲜剂配方优化研究.甘肃农业科技,2005,(2):26-28
    [406]马李一,甘瑾,殷宁,张弘,郑华,李彬,陈智勇,陈晓呜,纳米SiOx在涂膜保鲜剂中的应用.北京林业大学学报,2004,26(6):98-101
    [407]薛自萍,郭玉蓉,张永茂,吴佩君,纳米SiOx保鲜果蜡对苹果贮藏性能的影响.食品工业科技,2005,26(2):176-178
    [408]颉敏华,刘刚,张永茂,刘元寿,李玉梅,张霁红,康三江,纳米SiOx保鲜果蜡研制.食品科学,2003,24(7):146-149
    [409]李宗磊,王明力,纳米SiOx壳聚糖复合涂膜剂的制备及在富士苹果保鲜中的应用研究.贵州工业大学学报(自然科学版),2006,35(2):99-102
    [410]沈丹,陈汝财,王明力,刘晶,壳聚糖/纳米SiOx/单甘酯复合涂膜对黄瓜保鲜的研究.食品与发酵工业,2006,32(12):164-166
    [411]J.Cam,M.Noack,P.Kolsch,Chemically modified ceramic membranes.Microporous and Mesoporous Materials,1998,22(1-3):321-332
    [412]Ch.Bichler,T.Kerbstadt,H.-C.Langowski,U.Moosheimer,Plasma-modified interfaces between polypropylene films and vacuum roll-to-roll coated thin barrier layers.Surface and Coatings Technology,1999,112(1-3):373-378
    [413]杨维刚,真空蒸镀SiOx膜的特性及加工应用.包装工程,1999,20(5):14-16
    [414]朱庆荣,王建清,SiOx镀膜材料的生产技术及影响其阻隔性能的因素.中国包装工业,2000,(4):29-31
    [415]Satoru lwamori,Yumi Gotoh,Krzysztof Moorthi,Characterization of silicon oxynitride gas barrier films.Vacuum,2002,68(2):113-117
    [416]Satoru Iwamori,Yumi Gotoh,Krzysztof Moorthi,Silicon oxide gas barrier films deposited by reactive sputtering.Surface and Coatings Technology,2003,166(1):24-30
    [417]A.Gruniger,Ph.Rudolf yon Rohr,Influence of defects in SiO_x thin films on their barrier properties.Thin Solid Films,2004,459(1-2):308-312
    [418]U.Moosheimer,Ch.Bichler,Plasma pretreatment of polymer films as a key issue for high barrier food packagings.Surface and Coatings Technology,1999,116-119812-819
    [419]R.LawaU,W.Knoll,Time resolved one-dimensional optical waveguide microscopic imaging of the water drainage on vertical Ag/SiO_x interface.Optics Communications,1995,115(3-4):265-270
    [420]谭为群,纳米SiOx改性苯丙乳胶涂料的试验研究.低温建筑技术,2003,(3):13-14
    [421]孙宏图,纳米SiOx改性苯丙乳胶涂料研究进展.散装水泥,2003,(5):34-35
    [422]刘东辉,李星,张健,纳米SiOx对乙酸乙烯酯乳胶漆性能影响之初探.云南建材,2002,(5):49-51
    [423]W.Assmann,Th.Reicheit,T.Eisenhammer,H.Huber,A.Mahr,H.Scheilinger,R.Wohlgemuth,ERDA of solar selective absorbers.Nuclear Instruments and Methods in Physics Research Section B:Beam Interactions with Materials and Atoms 1996,113(1-4):303-307
    [424]J.Vince,A.urca Vuk,U.Opara Kraovec,B.Orel,M.Kohl,M.Heck,Solar absorber coatings based on CoCuMnOx spinels prepared via the sol-gel process:structural and optical properties.Solar Energy Materials and Solar Cells,2003,79(3):313-330
    [425]Dongwoo Suh,Yongwoo Park,Hojun Ryu,Yeung Joon Sohn,M.C.Mun Cheol Paek,Numerical characterization of the magneto-optical polar Kerr effect of a SiOx/FePt/SiOx/Al multilayer on glass substrate.Journal of Magnetism and Magnetic Materials,2004,272-276 Part32299-2300
    [426]Hiro Ishikawa,Yoshiharu Honjo,Kazuo Watanabe,Three-layer broad-band antireflective coating on web.Thin Solid Films,1999,351(1-2):212-215
    [427]Mykola Sopinskyy,Viktoriya Khomchenko,Electroluminescence in SiO_x films and SiO_x-film-based systems.Current Opinion in Solid State and Materials Science,2003,7(2):97-109
    [428]张文彬,张开坚,李新军,何明兴,材料科学基础学科:SiOx(x≤2)基电致发光体系研究进展.中国学术期刊文摘,2006,12(12):2
    [429]Frank Thoma,Uwe Langbein,Silvia Mittler-Neher,Waveguide scattering microscopy.Optics Communications,1997,134(1-6):16-20
    [430]杨文兵,硅基纳米材料SiO用于VRLA蓄电池的探索.蓄电池,2001,(4):34-34
    [431]J.-F.Damlencourt,O.Renault,D.Samour,A.-M.Papon,C.Leroux,F.Martin,S.Marthon,M.-N.Semeria,X.Garros,Electrical and physico-chemical characterization of HfO_2/SiO_2 gate oxide stacks prepared by atomic layer deposition.Solid-State Electronics,2003,47(10):1613-1616
    [432]J.Lu,Yue.Kuo,J.-Y.Tewg,B.Schueler,Effects of the TaN_x interface layer on doped tantalum oxide high-k films.Vacuum,2004,74(3-4):539-547
    [433]R.T.Tung,Ultrathin silicide formation for ULSI devices.Applied Surface Science,1997,117-118268-274
    [434]Sanghun Jeon,Matsuda Takanori,Akira Unno,Kiyotaka Wasa,Yoko Ichikawa,Hyunsang Hwang,Interfacial properties of a hetero-structure YSZ/p-(100)Si prepared by magnetron sputtering.Vacuum,2002,65(1):19-25
    [435]Yong Kim,Kyung Hwa Park,Won Chel Choi,Tae Hun Chung,Hong Jun Bark,Jae-Yel Yi,Jaein Jeong,Charge retention effect in metal-oxide-semiconductor structure containing Si nanocrystals prepared by ion-beam-assisted electron beam deposition.Materials Science and Engineering B,2001,83(1-3):145-151
    [436]A.Stesmans,V.V.Afanas'ev,M.Houssa,Electron spin resonance analysis of interfacial Si dangling bond defects in stacks of ultrathin SiO_2,Al_2O_3,and ZrO_2 layers on(100)Si.Journal of Non-Crystalline Solids,2002,303(1):162-166
    [437]Kwang Su Choe,Byung Nam Jang,Minority-cartier lifetime optimization in silicon MOS devices by intrinsic gettering.Journal of Crystal Growth,2000,218(2-4):239-244
    [438]K.L.Pey,R.Ranjan,C.H.Tung,L.J.Tang,V.L.Lo,K.S.Lim,T.A/L.Selvarajoo,D.S.Ang,Breakdowns in high-k gate stacks of nano-scale CMOS devices.Microelectronic Engineering,2005,80353-361
    [439]文钟晟,王可,解晶莹,杨军,锂离子蓄电池高容量含硅负极材料研究进展.电源技术,2004,28(11):719-722
    [440]A.Ptak,E.Chrzumnicka,A.Dudkowiak,D.Frckowiak,Electrochemical cell with bacteriochlorophyll c and chlorophylls a and b in nematic liquid crystal.Journal of Photochemistry and Photobiology A:Chemistry,1996,98(3):159-163
    [441]H.Aguas,L.Pereira,D.Costa,E.Fortunato,R.Martins,Super linear position sensitive detectors using MIS structures.Optical Materials,2005,27(5):1088-1092
    [442]R.Aoki,H.Murakami,M.Shirai,V.M.Svistunov,D.N.Afanassyev,Optical phonon contribution to the high Tc Cooper-pairing investigated by tunneling spectrum.Physica C:Superconductivity,1997,282-287 Part 2979-980
    [443]R.Luthi,E.Meyer,H.Haefke,L.Howald,W.Gutmannsbauer,M.Guggisberg,M.Bammerlin,H.-J.Guntherodt,Nanotribology:an UHV-SFM study on thin films of C60 and AgBr.Surface Science,1995,338(1-3):247-260
    [444]Man Gu Kang,Nam-Gyu Park,Kwang Sun Ryu,Soon Ho Chang,Kang-Jin Kim,A 4.2%efficient flexible dye-sensitized TiO2 solar cells using stainless steel substrate.Solar Energy Materials and Solar Cells,2006,90(5):574-581
    [445]V.Yu.Yerokhov,I.I.Meinyk,A.V.Korovin,External bias as the factor of efficiency increase of silicon MIS/IL solar cells.Solar Energy Materials and Solar Cells,1999,58(2):225-236
    [446]Tokio Nakada,Hiroki Ohbo,Masakazu Fukuda,Akio Kunioka,Improved compositional flexibility of Cu(In,Ga)Se2-based thin film solar cells by sodium control technique.Solar Energy Materials and Solar Cells,1997,49(1-4):261-267
    [447]E.M.Moser,R.Urech,E.Hack,H.Kunzli,E.Muller,Hydrocarbon films inhibit oxygen permeation through plastic packaging material.Thin Solid Films,1998,317(1-2):388-392
    [448]王建清,SiOx镀膜包装材料的应用与发展趋势.中国新包装,2002,(4):26
    [449]良品,21世纪食品包装材料发展方向.广东包装,2002,(3):44-44
    [450]刘燕秋,SiO_x蒸镀薄膜开发现状.中国包装工业,1995,5(1):26
    [451]薛志勇,多功能的新型软包装材料——SiOx蒸镀薄膜。中国包装材料,2002,22(5):101
    [452]董志武,氧化硅涂覆塑料包装膜热封合技术.塑料包装,1999,9(3):18-21
    [453]V.Plaek,V.Svobodova,B.Bartoniek,J.Rosmus,M.amra,Shelf-stable food through high dose irradiation.Radiation Physics and Chemistry,2004,71(1-2):515-518
    [454]韩尔立,陈强,葛袁静,张广秋,SiOx包装阻隔薄膜的发展现状及其制备方法.包装工程,2005,25(6):76-78
    [455]周湘,SiOx镀膜成食品包装“新宠”.医疗保健器具,2007,(1):34-35
    [456]刘国信,新犁高阻隔性包装材料——GT薄膜.塑料包装,2006,16(1):40-42
    [457]陈昌杰,阻隔性塑料中空容器发展概况.塑料包装,2006,16(1):11-16
    [458]刘国信,新犁高阻隔性包装材料——GT薄膜.包装世界,2006,(3):53-54
    [459]G.Wagner,U.Lange,K.Bente,J.Lenzner,M.Lorenz,Structural properties of thin Zn0.62Cu0.19In0.19S alloy films grown on Si(111)substrates by pulsed laser deposition.Thin Solid Films,2000,358(1-2):80-85
    [460]Yasuhiko Hayashi,Yoshinori Matsushita,Tetsuo Soga,Masayoshi Umeno,Takashi Jimbo,The formation of a(111)texture of the diamond film on Pt/TiO2/SiOx/Si substrate by microwave plasma chemical vapor deposition.Diamond and Related Materials,2002,11(3-6):499-503
    [461]Janusz M.Jaboski,Janina Okal,Danuta Potoczna-Petru,Ludwina Krajczyk,High temperature reduction with hydrogen,phase composition,and activity of cobalt/silica catalysts.Journal of Catalysis,2003,220(1):146-160
    [462]H.Tada,M.Tanaka,Surface properties of SiOx monolayer photochemically formed on oxide semiconductors.Thin Solid Films,1996,281-282(1-2):404-408
    [463]Hiroaki Tada,Yasuyuki Kubo,Manabu Akazawa,Seishiro lto,SiOx Monolayer Overcoating Effect on the TiO2 Photocatalytic Oxidation of Cetylpyridinium Bromide.Journal of Colloid and Interface Science,2000,221(2):316-319
    [464]James L.Gole,Clemens Burda,Z.L.Wang,Mark White,Unusual properties and reactivity at the nanoscale.Journal of Physics and Chemistry of Solids,2005,66(2-4):46-550
    [465]Han-Su Lee,Tak Hur,Shawn Kim,Jae-Hyun Kim,Ho-In Lee,Effects of pH and surface modification of TiO2 with SiO_x on the photocatalytic degradation of a pyrimidine derivative.Catalysis Today,2003,84(3-4):173-180
    [466]刘景春,黄志杰,左美祥,黄海,21世纪高技术材料纳米SiOx的应用.中国高新技术企业,2000,(4):22
    [467]王淑花,魏丽乔,侯文生,戴晋明,SiO_x纳米抗菌剂制备及在羊毛纤维中的应用研究.材料热处理学报,2005,26(3):40-42
    [468]J.Feibel,I.Bieber,J.Pipper,J.M.Kohler,Investigations on the compatibility of chemically oxidized silicon(SiOx)-surfaces for applications towards chip-based polymerase chain reaction.Chemical Engineering Journal,2004,101(1-3):333-338
    [469]刘东辉,李星,张健,纳米SiOx对微发泡乳胶材料热延伸性能影响的初步研究.弹性体,2002,12(3):33-35
    [470]左美祥,黄志杰,张玉敏,纳米SiOx在涂料中的分散及改性作用.现代涂料与涂层,2001,(2):1-3
    [471]纳米SiO_x在涂料中的分散作用.化工文摘,2003,(12):39-39
    [472]纳米SiOx在涂料中的分散作用.无机化工信息,2000,(6):32-35
    [473]左美祥,黄志杰,张玉敏,马全利,纳米SiOx在涂料中的分散作用.化工新型材料,2000,28(11):22-24
    [474]T.G.Vladkova,I.L.Keranov,P.D.Dineff,S.Y.Youroukov,I.A.Avramova,N.Krasteva,G.P.Altankov,Plasma based At+ beam assisted poly(dimethylsiloxane)surface modification.Nuclear Instruments and Methods in Physics Research Section B:Beam Interactions with Materials and Atoms,2005,236(1-4):552-562
    [475]尹毅,陈炯,李喆,肖登明,纳米SiOx/聚乙烯复合材料强场电导特性的研究.电工技术学报,2006,21(2):22-26
    [476]陈炯,尹毅,李喆,肖登明,党智敏,纳米SiOx/聚乙烯复合介质强场电导的预电应力效应研究.中国电机工程学报,2006,26(7):146-151
    [477]Uwe Hubner,R.Plontke,M.Blume,A.Reinhardt,H.W.P.Koops,On-line nanolithography using electron beam-induced deposition technique.Microelectronic Engineering,2001,57-58953-958
    [478]H.Sugimura,N.Nakagiri,Electrochemical nanolithography using scanning probe microscopy:Fabrication of patterned metal structures on silicon substrates.Thin Solid Films,1996,281-282(1-2):572-575
    [479]冯端,师昌绪,刘治国,材料科学导论——融贯的论述[M].北京:化学工业出版社,2002
    [480]Francoise Massines,Nicolas Gherardi,Antonella Fomelli,Steve Martin,Atmospheric pressure plasma deposition of thin films by Townsend dielectric barrier discharge.Surface and Coatings Technology,2005,200(5-6):1855-1861
    [481]D.M.Wolfe,G.Lucovsky,Formation of nano-crystalline Si by thermal annealing of SiOx,SiCx and SiOyCx amorphous alloys:model systems for advanced device processing.Journal of Non-Crystalline Solids,2000,266-269 Part 21009-1014
    [482]Y.Wakayama,T.Inokuma,S.Hasegawa,Nanoscale structural investigation of Si crystallites grown from silicon suboxide films by thermal annealing.Journal of Crystal Growth,1998,183(1-2):124-130
    [483]G.E.van Dorssen,G.Derst,G.N.Greaves,A.D.Smith,M.Roper,Silicon oxide structures measured at the surfaces of silicon and silicate glass:a reflectivity study.Nuclear Instruments and Methods in Physics Research Section B:Beam Interactions with Materials and Atoms,1995,97(1-4):426-429
    [484]谭凌,雷佩云,粱骏吾,SIPOS膜的结构组成.半导体学报,1995,16(12):890-896
    [485]张际亮,沃银花,郦剑,甘正浩,铝基SiOx膜层滑动磨损及其机理研究.材料科学与工程学报,2005,23(3):422-424
    [486]Bonnie E.Baker,Michael J.Natan,Hong Zhu,Thomas P.Beebe,Au colloid monolayers as templates for nanostructure assembly.Supramolecular Science,1997,4(1-2):147-154
    [487]C.H.Liang,L.D.Zhang,G.W.Meng,Y.W.Wang,Z.Q.Chu,Preparation and characterization of amorphous SiOx nanowires.Journal of Non-Crystalline Solids,2000,277(1):63-67
    [488]T.Seeger,Ph.Redlich,N.Grobert,M.Terrones,D.R.M.Walton,H.W.Kroto,M.Ruhle,SiOx-coating of carbon nanotubes at room temperature.Chemical Physics Letters,2001,339(1-2):41-46
    [489]D.Hegemann,H.Brunner,C.Oehr,Evaluation of deposition conditions to design plasma coatings like SiO_x and a-C:H on polymers.Surface and Coatings Technology,2003,174-175253-260
    [490]Thomas S.Phely-Bobin,Richard J.Muisener,Jeffrey T.Koberstein,Fotios Papadimitrakopoulos,Site-specific self-assembly of Si/SiOx nanoparticles on micropattemed poly(dimethylsiloxane)thin films.Synthetic Metals,2001,116(1-3):439-443
    [491]D.Eon,L.de Poucques,M.C.Peignon,Ch.Cardinaud,G.Turban,A.Tserepi,G.Cordoyiannis,E.S.Valamontes,I.Raptis,E.Gogolides,Surface modification of Si-containing polymers during etching for bilayer lithography.Microelectronic Engineering,2002,61-62901-906
    [492]J.H.Xia,Rusli,S.F.Choy,R.Gopalakrishan,C.C.Tin,S.F.Yoon,J.Ahn,CHF3-O2 reactive ion etching of 4H-SiC and the role of oxygen.Microelectronic Engineering,2006,83(2):381-386
    [493]Peter Hess,Jochen Lambers,Infrared spectra of photochemically grown suboxides and oxynitrides at the Si/SiO_2 interface.Microelectronic Engineering,2004,72(1-4):201-206
    [494]A.A.Shklyaev,A.S.Medvedev,Plasma-enhanced reactively evaporated deposition of SiO_2films.Applied Surface Science,1995,259(1):32-37
    [495]J.M.Lackner,W.Waldhauser,R.Ebner,W.Lenz,C.Suess,G.Jakopic,G.Leising,H.Hurter,Pulsed laser deposition:a new technique for deposition of amorphous SiOx thin films.Surface and Coatings Technology,2003,163-164300-305
    [496]A.Bendavid,P.J.Martin,H.Takikawa,The properties of nanocomposite aluminium-silicon based thin films deposited by filtered arc deposition.Thin Solid Films,2002,420-42183-88
    [497]P.G.Karlsson,J.H.Richter,M.P.Andersson,J.Blomquist,H.Siegbahn,P.Uvdal,A.Sandell,UHV-MOCVD growth of TiO2 on SiOx/Si(111):Interfacial properties reflected in the Si 2p photoemission spectra.Surface Science,2005,580(1-3):207-217
    [498]Yan Qiu Zhu,Wen Kuang Hsu,Nicole Grobert,Mauricio Terrones,Humberto Terrones,Harold W.Kroto,David R.M.Walton,Bing Qing Wei,Self-assembly of Si nanostructures.Chemical Physics Letters,2000,322(5):312-320
    [499]V.Papaefthimiou,A.Siokou,S.Kennou,The electronic properties of the interface between a thin conjugated oligomer film and SiO2/Si(111),studied by photoemission spectroscopies.Thin Solid Films,2004,459(1-2):32-36
    [500]Xingbo Liang,Lei Wang,Deren Yang,Amorphous SiOx nanowires grown on silicon(100)substrates via rapid thermal process of nanodiamond films.Thin Solid Films,2006,503(1-2):18-21
    [501]Y.Chang,F.Ducroquet,E.Gautier,O.Renault,J.Legrand,J.F.Damlencourt,F.Martin,Surface preparation and post thermal treatment effects on interface properties of thin Al2O3 films deposited by ALD.Microelectronic Engineering,2004,72(1-4):326-331
    [502]左演声,陈文哲,梁伟,材料现代分析方法[M].北京:北京工业大学出版社,2002
    [503]郑修麟,材料的力学性能[M].西安:西北工业大学出版社,2000
    [504]李婷,定向纳米碳管及其复合光电导的制备及特征[D],杭州:浙江大学,2006.
    [505]Daniel M.Dobkin,Michael K.Zuraw,Principles of Chemical Vapor Deposition[M].London:Kluwer Academic Publishers,2003
    [506]Milton Ohring,The Materials Science of Thin Films[M].London:Academic Press,1992
    [507]黄胜涛,非晶态材料的结构和结构分析[M].北京:科学出版社,1987
    [508]C.Kittel,固体物理导论[M].北京:科学出版社,1997
    [509]邢丽英,隐身材料[M].北京:化学工业出版社,2004

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700