用户名: 密码: 验证码:
ALD淀积高k栅介质材料与器件特性研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着大规模集成电路VLSI (Very Large Scale Integrated circuits)技术的迅猛发展,半导体器件的特征尺寸遵循着摩尔定律不断地减小,在进入到45nm工艺节点下,MOS晶体管的栅氧化层的等效厚度降低到了1nm左右,如果仍采用常规的SiO_2栅介质,将带来不可接受的栅漏电流,并引起可靠性下降等严重问题,这给集成电路的的进一步发展带来了巨大的阻碍,意味着传统的SiO_2作为器件的栅介质已经达到了物理极限。解决这个问题的唯一办法是用具有较高介电常数的电介质材料取代SiO_2用作栅介质层。高k栅介质可以在保持等效厚度不变的条件下增加栅介质层的物理厚度,可以大大减小直接隧穿效应和栅介质层承受的电场强度。本文对高k栅介质材料与器件进行了系统地研究,取得的主要研究成果如下:
     1.对ALD生长工艺进行了研究。通过实验得出了生长温度、pluse time、purgetime和氧化剂对使用ALD设备生长高k栅介质材料的影响。结果表明,只有当循环数大于某一数值后,生长速率才基本保持稳定。不同工艺参数和氧化剂对使用ALD设备生长的栅介质材料影响较大,主要体现在杂质含量、高温特性、原子化学计量比和平带电压表现出了较大的差异。在HfO_2和SiO_2界面采用Al预处理技术进行平带电压调整,进而达到阈值电压调节的目的,实验证明,Al预处理技术可以对高k栅器件的阈值电压进行有效地调节。
     2.通过对椭偏测试结果进行转换和分析,得到了高k介质的禁带宽度。受量子限域效应的影响,介质的禁带宽度与厚度成反比关系,并且随着厚度的增加,禁带宽度趋于稳定;退火后介质的禁带宽度会略微增大。与H2O生长的HfO_2相比,O_3作为氧源生长的HfO_2的禁带宽度要略小,但是生长温度对O_3作为氧源生长的HfO_2的禁带宽度有较大影响。由于高k栅结构价带差和导带差的重要性,利用XPS谱图,结合价带谱以及椭偏对不同厚度HfO_2禁带宽度的测量结果,对不同厚度HfO_2的导带差和价带差进行了计算,得到了精确的HfO_2-Si体系的能带结构。
     3.采用ALD淀积工艺生长了高k栅介质薄膜,制备了相应的高k栅介质器件,对高k栅器件的I-V漏电机制进行了研究和分析。研究了ALD淀积工艺生长的高k栅MOS器件中的频率色散效应。根据样品的测量结果发现,高频条件下积累区电容出现了频率色散现象。针对双频C-V法测量高k栅MOS电容中制备工艺和测量设备引入的寄生效应,给出了改进的等效电路模型,消除了频率色散效应。
     4.分析了不同退火温度和电应力对HfO_2高k栅介质应变Si和应变SiGe MOS器件的特性的影响。对比了同样栅氧层厚度的HfO_2Si、HfO_2应变Si、应变SiGe以及HfO_2MOS器件的栅电流,受氧化层势垒高度和界面态密度的影响,HfO_2应变Si MOS器件中的栅漏电流最小,而HfO_2应变SiGe MOS器件中的栅漏电流最大。分析了电应力对HfO_2应变SiGe和HfO_2应变Si MOS器件栅极I-V特性的影响,发现正压应力会使得HfO_2应变器件的栅漏电流减小,而负压应力对器件栅极I-V特性影响不大。
     5.通过结合主要的散射机制极化光学声子散射和声学声子散射,经过系综蒙特卡罗,系统地研究了高k栅介质HfO_2中电子高场输运机理。研究发现,尽管声学声子散射对能量弛豫没有贡献,但由于在电子能量比较高的时候,声学声子散射率急剧增加,可以极大的增加电子在通过HfO_2介质时的运行距离,增加了电子在经过栅介质时候发生光学声子散射的几率,使得HfO_2高k栅介质可以在较高的电场下仍然能保持系统能量平衡,增加了栅氧层的击穿电压阈值点,并得到了与实验基本相符的结果。更进一步,结合蒙特卡罗仿真的结果,对能量弛豫长度进行了研究,给出了在不同电场,不同初始能量下的能量弛豫长度。最后,给出了电子穿过HfO_2时的平均漂移速度。
Through efforts of several decades, semiconductor devices have been dramaticallyscaled down to nano-scale in order to achieve higher device density and performance.As the technology node comes to45nm, the equivalent thickness of silicon oxide gatedielectric is only around1nm, which causes the intolerable gate leakage current, andmakes silicon oxide come to its fundamental physical limit. To reduce the substantialgate leakage current resulting from direct quantum mechanical tunneling across thedielectric layer, high-k dielectrics which can give large gate capacitances with dielectricfilms physically thicker than those of corresponding silicon oxide gate dielectrics areneeded. In this dissertation, high-k gate materials and devices are researchedsystematically, and the author’s major contributions are outlined at follows:
     1. The ALD deposition process is studied. The relationship between ALD processparameters and high k gate material is given. The research result shows that growth ratewill keep stable when the growth cycle is in a big value. Variation of depositionparameters and the type of oxide source will significantly influence the quality of high kgate material deposited by ALD, which mainly appear in impurities, high temperaturecharacteristic, atom chemical stoichiometry and flat band voltage. The aluminumpretreatment is done at the interface of HfO_2and SiO_2, aiming to tune the VFB. Theexperiment results show the fact that the aluminum pretreatment can effectively tune theVFBof high k devices.
     2. The bandgap of the high k dielectric is obtained from the VASE testing result,indicating that the bandgap of the high k dielectric will decrease with the increment ofthe thickness, and this trend will gradually disappear as the thickness increases.Compares to the H2O-based HfO_2, the bandgap of the O_3-based HfO_2is smaller, and thedeposition temperature has more influence on the O_3-based HfO_2than that of theH2O-based HfO_2. Based on the VASE and XPS testing results, the VBO and CBO ofHfO_2with different thickness are calculated, for which the accurate band structure ofHfO_2-Si system are obtained.
     3. The leakage current mechanism of high k gate device is investigated. Thefrequency dispersion effect of high k gate MOS device deposited by ALD is studied.The C-V curves show that the accumulation capacitances take on the frequency dispersion at high frequency. For MOS capacitor high k gate, different fabricationprocess and measurement equipments will cause parasitic effect. Therefore, anequivalent circuit model is proposed, and is finally proved to be effective in eliminatingthe frequency dispersion effect.
     4. The effects of different annealed temperatures on HfO_2gate dielectrics strainedSi MOS and HfO_2gate dielectrics strained SiGe MOS are analyzed. Compared HfO_2Si-based strained MOS to HfO_2Si MOS, it’s found that the gate leakage current in HfO_2strained Si MOS is the smallest and the one in HfO_2strained SiGe MOS is the highest,which is the effect of interfacial and the barrier at the oxide semiconductor interface.The SILC on HfO_2strained Si MOS and HfO_2strained SiGe MOS is also studied. It’slearned negative voltage stress have less effect on the MOS structures. And positivevoltage stress decrease the gate leakage current in both of HfO_2strained Si MOS andHfO_2strained SiGe MOS.
     5. Through the main scattering mechanism of optical phonon scattering andacoustic phonon scattering, the high field electron transport mechanism of HfO_2gate issystematically researched by ensemble Monte Carlo method. It is found that acousticphonon scattering rate will dramaticalyy increase when electron is in a high energy state,which can increase the optical phonon scattering rate. It will allow electrons keep thesystem energy balance even in the high field environment, which increase thebreakdown voltage. With the result of Monte Carlo simulation, the energy relaxationlength is researched. It is figured out for different initial energy and electric field.Finally, the average drift velocity when electrons get through HfO_2is also given.
引文
[1.1] Mahnkopf R, Advanced CMOS system on chip technology platform-statustoday&outlook tomorrow, International Conference on Solid-State IntegratedCircuit.2001, p34-39.
    [1.2] Tang Y S, Hao Y, and Wang M Y, Analytic models of drain, substrate and gatecurrent distribution in the drain section of MOSFETs, IEEE Trans. ElectronDevices.2001,48:2279-2291.
    [1.3] Bohr, M. The evolution of scaling from the homogeneous era to theheterogeneous era,International Electron Devices Meeting (IEDM),2011IEEEInternational,2011.1-4.
    [1.4] Kuhn, K.J. Technology options for22nm and beyond, Junction Technology(IWJT),2010International Workshop on10-11May,2010:1-2.
    [1.5] Chuang J E, Jeng M C, Moon J E, et al, Performance and reliability designissues for deep-submicrometer MOSFET’s, IEEE Trans. Electron Devices,1991,38:546-554.
    [1.6] L H X and Hao Y, A unity oxide breakdown model for thin gate MOS devices,6thInternational Conference on Solid-State and Integrated Circuit TechnologyProceedings (ICSICT) Shanghai. Oct.23-26,2001:1002-1005.
    [1.7] Hess K, Haggag A, McMahon W, et al, The physics of determining chipreliability, IEEE Circuits Devices Mag.2001.33th. pp.17.
    [1.8] Schroder D K, Babcock J A, Negative bias temperature instability: Road tocross in deep submicron silicon semiconductor manufacturing, J. Appl. Phys.,2003, Vol.1, Jul. pp.1.
    [1.9] Kiyama, H., Kato, S., Aoyama, T., et al. Advanced Flash Lamp Annealingtechnology for22nm and further device, Junction Technology (IWJT),2010International Workshop on10-11May,2010:7-11
    [1.10] M.Koh, K.Iwamoto, W.Mizubyashi, et al. Threshold Voltage FluctuationInduced by Direct Tunnel Leakage Current through1.2-2.8nm Thick GateOxides for Scaled MOSFET’s. IEDM Tech Dig.1998.919-922
    [1.11]周晓强,凌惠琴,毛大立等,高介电常数栅介质材料研究动态,微电子学,2005,4,35(2),163-168.
    [1.12] Y.H.Kim, J.C.Lee. Reliability Characteristics of High-k Dielectrics.Microelectronics Reliability.2004,44(2):183-193.
    [1.13] A. Delabie, S. Sioncke, J. Rip, et al. Reaction mechanisms for atomic layerdeposition of aluminum oxide on semiconductor substrates, J. Vac. Sci.Technol. A.2012,30(1):01A127.
    [1.14] K.M.Chang, T.C. Chang, S.H. Chen,Reliability Properties and CurrentConduction Mechanisms of HfO2MIS Capacitor with Dual Plasma Treatment,ECS Transactions,2011,35(4):909-921.
    [1.15] O. Engstr m, Electron States in MOS Systems. ECS Transactions,2011,35(4):19-38.
    [1.16]甘学温,黄如,刘晓彦等.纳米CMOS器件.第一版.北京:科学出版社,2004.10-19.
    [1.17] Stathis, J. H. Percolation models for gate oxide breakdown. Journal of AppliedPhysics.1996, Vol.8. pp:5757-5766.
    [1.18] Sato H., Lzumi A., Matsumura H. Ultrathin silicon nitride gate dielectricsprepared by catalytic chemical vapor deposition at low temperature. Appl PhysLett,2000,77(17), p2752-2754.
    [1.19] Gusev E. P., Copel M., Cartier E. High-resolution depth profiling in ultrathinAl2O3films on Si. Appl Phys Lett,2000, Vol.76, No.2, p176.
    [1.20] Kwo J., Hong M., Kortan A. R., et al. High epsilon gate dielectrics Gd2O3andY2O3for silicon. Appl Phys Lett,2000,77(1),p130:132.
    [1.21] Huang C. H., Chen S. B., Chin A. La2O3/Si0.3Ge0.7p-MOSFETs with high holemobility and good device characteristics. IEEE Elec Dev Lett,2002,23,p710-712.
    [1.22] Wu Y. H., Yang M. Y., Chin A., et al. Electrical characteristics of high qualityLa2O3gate dielectric with equivalent oxide thickness of5angstrom. IEEEElec Dev Lett,2000,21, p341-343.
    [1.23] Moonkyun Song, Shi-Woo Rhee. Direct liquid injection metal organicchemical vapor deposition of Nd2O3thin films usingTris(2,2,6,6-tetramethyl-3,5-heptanedio-nato) neodymium. Thin Solid Films,2005,492, p19-23.
    [1.24] Dalapati G. K., Chatterjee S., Samanta S. K., et al. Electrical characterizationof low temperature deposited TiO2films on Strained-SiGe layers. Appl SurfSci,2003,210(324), p249.
    [1.25] Qi Wenjie, Nish R, Dharmarajan E., et al. Ultrathin airconium silicate thin filmwith good thermal stability for alternative gate dielectric application. ApplPhys Lett,2000,77(11), p1704.
    [1.26] Harris H., Choi K., Mehta N., et al. HfO2gate dielectric with0.5nm equivalentoxide thickness. Appl Phys Lett,2002,81(6), p1065.
    [1.27] Park D., King Y., Lu Qiang, et al. Transistor characterixtics with Ta2O5gatedielectric, IEEE Electron Device Lett,1998,19(11), p411.
    [1.28] Jeon S., Yang H., Park D. G., et al. Electrical and structural properties ofnanolaminate(Al2O3/ZrO2/Al2O3) for metal oxide semiconductor gatedielectric application. Jap J Appl Phys Part1-Regular Papers ShortNotes&Review Papers,2002,41(4B), p2390-2393.
    [1.29] Yu H. Y., Li M. F., Cho B. J., et al. Energy gap and band alignment for(HfO2)(x)(Al2O3)(1-x) on (100)Si. Appl Phys Lett,2002,81(2), p367-368.
    [1.30] Zhu W. J., Tamagawa T., Gibson M., et al. Effect of inclusion in HfO2on thephysical and electrical properties of the dielectrics. IEEE Elec Dev Lett,2002,23(11), p649-651.
    [1.31] Michel Houssa. High-k Gate Dielectrics. p17-37.
    [1.32] Piikka L. Puurunen. Surface chemistry of atomic layer deposition: A casestudy for the trimethylaluminum/water process. Journal of Applied Physics97,2005,121301, p19-20.
    [1.33] C. Auth, M. Buehler, A. Cappellani, et al. Intel's45nm CMOS Technology, IntelTechnology Journal.2008,12(2).
    [1.34]王成刚,韩德栋,杨红等,HfO2高k栅介质漏电流机制和SILC效应,半导体学报,2004,7,841-846.
    [1.35]韩德栋,康晋锋,刘晓彦等,HfO2高k栅介质薄膜的电学特性研究,固体电子学与研究进展,2004,2.
    [1.36]康晋锋,刘晓彦,王玮等,CeO2高k栅介质薄膜的制备工艺及其电学性质,半导体学报,2001,7,865-870.
    [1.37] Kuang Qianwei, Liu Hongxia, Wang Shulong, Qin Shanshan, Wang Zhilin. Valenceband structure and density of states effective mass model of biaxial tensile strainsilicon based on k.p theory. Chinese Physics B.2011,20(12),127101.
    [1.38] Liu HongXia, Kuang QianWei, Luan SuZhen, Zhao Aaron, Tallavarjula Sai.Frequency dispersion effect and parameters extraction method for novel HfO2as gatedielectric. Science China-Information Science.2010,53(4).878-884.
    [1.39]匡潜玮,刘红侠,樊继斌,马飞,张言雷. ALD淀积温度对HfO2高k栅介质材料特性的影响.西安电子科技大学学报.2012,39(2),164-167.
    [1.40] Kuang Qianwei, Liu Hongxia, Zhou Wen, Gao Bo, Zhao Aaron, Tallavarjula Sai.Transport mechanism of the leakage current in MIS capacitor with HfO2/SiO2stackgate.2009IEEE International Conference on Electron Devices and Solid-StateCircuits, EDSSC2009.
    [1.41] Liu Hongxia, Kuang Qianwei, Wang Zhilin, Gao Bo, Wang Shulong, Hao Yue.Investigation of Electrical Characteristics of NdAlO3/SiO2Stack Gate.10th IEEEInternational Conference on Solid-State and Integrated Circuit Technology (ICSICT),Nov.1-4,2010, Shanghai, China.
    [1.42]刘红侠,匡潜玮,栾苏珍, Zhao Aaron, Tallavarjula Sai.新型HfO2栅介质中的频散效应及参数提取方法.中国科学F辑.2010,40(6),892-898.
    [2.1]杨邦朝,王文生.薄膜物理与技术.电子科技大学出版社.1994.
    [2.2] Ritala M. and Leskel, M., in Handbook of Thin Film Materials, ed. Nalwa,H.S.,Academic Press, New York,2002,1,103-159.
    [2.3] Michel Houssa, High-K Gate Dielectrics, Taylor&Francis,2003,102-150.
    [2.4] Matero, R., Atomic Layer Deposition of Oxide Film–Growth Characterisationand Reaction Mechanism Studies, Doctoral dissertation, University of Helsinki,Helsinki,2005,61.
    [2.5] Jones, A.C., Aspinall, H.C., Chalker, P.R., Potter, R.J., Kukli, K., Rahtu, A.,Ritala,M., and Leskel, M., Some recent developments the MOCVD and ALDof rare earch oxide,, J. Mater. Chem.2004,14.3101-3112.
    [2.6] Jones, A.C., Aspinall, H.C., Chalker, P.R., Potter, R.J., Kukli, K., Rahtu, A.,Ritala, M., and Leskel M., Recentdevelopments in the MOCVD and ALD ofrare earth oxides and silicates, Mater. Sci. Eng.2005, B118,97.
    [2.7] Tiitta, M. and Niinist, L., Microstructural and electrical properties ofgadolinium doped ceria thin films prepared by atomic layer deposition (ALD),Chem. Vap. Deposition3,1997,167.
    [2.8] Binnemans, K., in Handbook on the Physics and Chemistry of Rare Earths, eds.Gschneider, Jr., K.A., Bünzli, J.-C.G., and Pecharsky, V.K., Elsevier,Amsterdam,2005,35,238-241.
    [2.9] Putkonen, M., Development of Low-temperature Deposition Processes byAtomic Layer Epitaxy for Binary and Ternary Oxide Thin Films, Doctoraldissertation, Helsinki University of Technology, Helsinki,2002,69.
    [2.10] P iv saari, J., Putkonen, M., and Niinist, L., Neodymium oxide andneodymium aluminate thin films by atomic layer deposition,Thin Solid Films,2005,47,275.
    [2.11] Musgrave, C. and Gordon, R.G., Precursors for atomic layer deposition ofhigh-k dielectrics, Future Fab. Int.2005,18,126.
    [2.12] Lim, B.S., Rahtu, A., and Gordon, R.G., Atomic layer deposition of transitionmetals,Nature Mater.2003,2,729.
    [2.13] Puurunen, R.L., Surface chemistry of atomic layer eposition: A case study forthe trimethylaluminum/water processJ. Appl. Phys.2005,97,121301.
    [2.14] Putkonen, M. and Niinist, L., Organometallic Precursors for Atomic LayerDeposition Top. Organomet. Chem.2005,9,125.
    [2.15] Ritala M. and Leskel, M., in Handbook of Thin Film Materials, ed. Nalwa,H.S.,Academic Press, New York,2002,1,103-159.
    [2.16] J. Lu, Y. Kuo, J. Yan, and C.-H. Lin,“Nanocrystalline Silicon EmbeddedZirconium-Doped Hafnium Oxide High-k Memory Device,” JJAP,2006,45(34)901.
    [2.17] Gusev, E.P., Cartier, E., Buchanan, D.A., Gribelyuk, M., Copel, M.,Okorn-Schmidt,H., and C.D Emic, Ultrathin high-Kmetaloxides on silicon:processing, characterization and integration issues, Microelectron. Eng.2008,59,341-349.
    [2.18] Ha, S.-C., Choi, E., Kim, S.-H., and Roh, J.S., Influence of oxidantsource onthe property of atomic layer deposited Al2O3on hydrogen-terminated Sisubstrate, Thin Solid Films,2005,476,252-257.
    [2.19] Park, H.B., Cho, M., Park, J., Lee, S.W., Hwang, C.S., Kim, J.-P., Lee, J.-H.,Lee,N.-I., Lee H.-K., and Oh, S.-J., Comparison of HfO2films grown byatomic layer deposition using HfCl4and H2O or O3as the oxidantJ. Appl. Phys.2003,94,3641.
    [2.20] Jaehoo Park, Moonju Cho, Hong Bae Park, Tae Joo Park, Suk Woo Lee, SugHun Hong, Doo Seok Jeong, Chihoon Lee, and Cheol Seong Hwang,Voltage-induced degradation in self-aligned polycrystalline silicon gate n-typefield-effect transistors with HfO2gate dielectrics, Appl. Phys. Lett.2004,85,5965.
    [2.21] Copel, M., Gribelyuk M., and Gusev, E., Structure and stability of ultrathinzirconium oxide layers on Si(001), Appl. Phys. Lett.2000,76,436.
    [2.22] Green, M.L., Allen, A.J., Li, X., Wang, J., Ilavsky, J., Delabie, A., Puurunen,R.L.,and Brijs, B., Structure and stability of ultrathin zirconium oxide layers onSi(001),Appl. Phys. Lett.2006,88,032907.
    [2.23] Schlom, D.G. and Haeni, J.H., A Thermodynamic Approach to SelectingAlternative Gate Dielectrics, MRS Bull.2002,27,198-204.
    [2.24] Suntola, T. and Antson, J., Atomic layer epitaxy,US Patent,1977,4058430.
    [2.25] D. H. Everett, Terminology and Symbols in. Colloid and Surface Chemistry,Pure Appl. Chem.1972,31,579-638.
    [2.26] L. Wang, C.Soto and W.T. Tysoe,The Kinetics of Propylene MetathesisCatalyzed by a Mo(100) Single Crystal., Journal of Catalysis,1993,143,92.
    [2.27] A. C. Dillon, A. W. Ott, J. D. Way, and S. M. George, The reaction pathway forthe growth of alumina on high surface area alumina and in ultrahigh vacuumby a reaction between trimethyl aluminum and water,Surf. Sci.1991, A9,2686-2695.
    [2.28] The Reaction Pathway for the Growth of Alumina on High Surface AreaAlumina and in Ultra-High Vacuum by a Reaction between TrimethylAluminum and Water. C. Soto and W.T. Tysoe. J. Vac. Sci. Technol.,1991, A9,2686.
    [2.29] Surface Reconstruction Effects on H and D Adsorption and DesorptionKinetics; A Monte Carlo Simulation. V. Bustos, W.T. Tysoe and G. Zgrablich, J.Phys. C: Condensed Matter,2003,5,239.
    [2.30] M. K. Gobbert, S. G. Webster, and T. S. Cale, Transient adsorption anddesorption in micrometer scale features,J. Electrochem. Soc.2002,149,461-473.
    [2.31] M. K. Gobbert, V. Prasad, and T. S. Cale, Modeling and simulation of atomiclayer deposition at the feature scale. J. Vac. Sci. Technol.2002,20,1031.
    [2.32] M. K. Gobbert, V. Prasad, and T. S. Cale, Predictive modeling of atomic layerdeposition on the feature scale. Thin Solid Films410,2002,129-141.
    [2.33] H. Kim, J. Vac. Sci. Atomic layer deposition of metal and nitride thin films:Current research efforts and applications for semiconductor deviceprocessing.Technol.2003,21,2231.
    [2.34] H. S. Sim, S.-I. Kim, H. Jeon, and Y. T. Kim, Jpn. A New Pulse PlasmaEnhanced Atomic Layer Deposition of Tungsten Nitride Diffusion Barrier forCopper Interconnect. J. Appl. Phys.,2003,42,6359-6362.
    [2.35] S. Haukka, E.-L. Lakomaa, and A. Root, An IR and NMR study of thechemisorption of titanium tetrachloride on silica.J. Phys. Chem.1993,97,5086-5094.
    [2.36] A. Kyt kivi, E.-L. Lakomaa, and A. Root, Controlled Formation of ZrO2in theReaction of ZrCl4Vapor with Porous Silica and γ-Alumina Surfaces.Langmuir,1996,12,4396-4403.
    [2.37] R. L. Puurunen, A. Root, S. Haukka, E. I. Iiskola, M. Lindblad, and A.O. I.Krause, IR and NMR Study of the Chemisorption of Ammonia onTrimethylaluminum-Modified Silica.J. Phys. Chem. B.2000,104,6599-6609.
    [2.38] Puurunen, R. L.; Root, A.; Sarv, P.; Haukka, S.; Iiskola, E. I.; Lindblad, M.;Krause, A. O. I., Growth of aluminium nitride on porous silica by atomic layerchemical vapour deposition, Appl. Surf. Sci.2000,165,193-202.
    [2.39] A. Kyt kivi, E.-L. Lakomaa, A. Root, H. sterholm, J.-P. Jacobs, and H. H.Brongersma, Sequential Saturating Reactions of ZrCl4and H2O Vapors in theModification of Silica and γ-Alumina with ZrO2. Langmuir,1997,13,2717-2725.
    [2.40] M. Ritala, M. Leskel, and E. Rauhala, Atomic layer epitaxy growth oftitanium dioxide thin films from titanium ethoxide. Chem. Mater.1994,6,556-561.
    [2.41] S. A. Morozov, A.A.Malkov, and A.A.Malygin, Zh. Prikl. Khim, Interaction ofTitanium Tetrachloride with Products of Thermal Decomposition of BasicMagnesium Carbonate. Russ. J. Appl. Chem.2003,76,7.
    [2.42] M. Ylilammi, Monolayer thickness in atomic layer deposition. Thin SolidFilms,1996,279,124-130.
    [2.43] H. Siimon and J. Aarik, Thickness profiles of thin films caused by secondaryreactions in flow-type atomic layer deposition reactors.J. Phys. D,1997,30,1725-1728.
    [2.44] R.L.Puurunen, Growth Per Cycle in Atomic Layer Deposition: RealApplication Examplesof a Theoretical Model.Chem. Vap. Deposition,2003,9,327-332.
    [2.45] R. L. Puurunen, M. Lindblad, A. Root, and A. O. I. Krause, Successivereactions of gaseous trimethylaluminium and ammonia on porousalumina.Phys.Chem. Chem. Phys.2001,3,1093-1102.
    [2.46] H. Sitter and W. Faschinger, Ultra high vacuum atomic layer epitaxy ofCdTe.Thin Solid Films,1993,225,250-255.
    [2.47] J. S. Becker, S. Suh, S. Wang, and R. G. Gordon, Highly Conformal Thin Filmsof Tungsten Nitride Prepared by Atomic Layer Deposition from a NovelPrecursor. Chem. Mater.2003,15,2969-2976.
    [2.48] M. D. Groner, F. H. Fabreguette, J. W. Elam, and S. M. George, LowTemperature Al2O3Atomic Layer Deposition. Chem.Mater.2004,16,639-645.
    [2.49] V. A. Tolmachev, Zh. Prikl. Khim._(S.-Peterburg)55,1410, J.Appl. Chem.1982,55,1298.
    [2.50] H. Nohira et al, Characterization of ALCVD-Al2O3and ZrO2layer using X-rayphotoelectron spectroscopy. J. Non-Cryst. Solids,2002,303,83-87.
    [2.51] W. F. A. Besling et al, Characterisation of ALCVD Al2O3–ZrO2nanolaminates,link between electrical and structural properties. J. Non-Cryst. Solids,2002,303,123-133
    [2.52] H. Lüth, Surfaces and Interfaces of Solids,2nd ed. Springer, Berlin,1993.
    [2.53] J. W. Elam, C. E. Nelson, R. K. Grubbs, and S. M. George, Nucleation andgrowth during tungsten atomic layer deposition on SiO2surfaces.Thin SolidFilms,2001,386,41-52.
    [2.54] N. V. Dolgushev, A. A. Malkov, A. A. Malygin, S. A. Suvorov, A. V.Shchukarev,A. V. Beljaev, and V. A. Bykov, Synthesis and characterization of nanosizedtitanium oxide films on the (0001) α-Al2O3surface.Thin Solid Films293,91-95.
    [2.55] L. I. Chernaya, P. E. Matkovskii, V. M. Rudakov, Yu. M. Shul’ga, I. V. Markov,V. I. Tomilo, and Z. G. Busheva, Zh. Obshch. Khim. J. Gen. Chem.1992,62,21.
    [2.56] J. D. Ferguson, A. W. Weimer, and S. M. George, Atomic layer deposition ofAl2O3and SiO2on BN particles using sequential surface reactions.Appl. Surf.Sci.2000,162-163,280.
    [2.57] R. L. Puurunen, A. Root, P. Sarv, M. M. Viitanen, H. H. Brongersma,M.Lindblad, and A. O. I. Krause, Growth of aluminum nitride on porous aluminaand silica through separate saturated gas-solid reactions of trimethylaluminumand ammonia. Chem. Mater.2002,14,720.
    [2.58] M. de Ridder et al., Growth of Iron Oxide on Yttria-Stabilized Zirconia byAtomic Layer Deposition. J. Phys. Chem. B.2002,106,13146-13153.
    [3.1] Jaakko Niinisto, Matti Putkonen, Lauri Niinisto, et al. Controlled growth ofHfO2thin films by atomic layer deposition from cyclopentadienyl-typeprecursor and water. The Royal Society of Chemistry.2005, pp:2271-2275.
    [3.2] Suntola and J. Antson, US Patent,1977, No.4058430.
    [3.3] M. Cho, J. Park, H. B. Park, C. S. Hwang, J. Jeong, and K. S. Hyun, Appl.Phys. Lett.2002,81:334.
    [3.4] H. S. Chang, S.K. Baek, H. Park, H. Hwang, J. H. Oh, W. S. Shin, J. H. Yeo, K.H. Hwang, S. W. Nam, H. D. Lee, C. L. Song, D. W. Moon, and M.-H. Cho,Electrochem. Solid-State Lett.2004,7:F42.
    [3.5] P. Punchaipetch, G. Pant, M. Quevedo-Lopez, H. Zhang, M. El-Bouanani, M. J.Kim, R. M. Wallace, and B. E. Gnade, Thin Solid Films.2003,68:425.
    [3.6] J. B. Kim, D. R. Kwon, K. Chakrabarti, and C. Lee, J. Appl. Phys.2002,92:6739.
    [3.7] K. H. Hwang, S. J. Choi, J. D. Lee, Y. S. You, Y. K. Kim, H. S. Kim, C. L.Song, and S. I. Lee, ALD Symposium, Monterey, CA,14May2001.
    [3.8] R. S. Johnson, J. G. Hong, C. Hinkle, and G. Lucovski, J. Vac. Sci. Technol. B.2002,20:1126.
    [3.9] J. Park, M. Cho, H. B. Park, T. J. Park, S. W. Lee, S. H. Hong, D. S. Jeong, C.Lee, and C. S. Hwang, Appl. Phys. Lett.2004,85:5965.
    [3.10] Xinye Liu, Sasangan Ramanathan, Ana Longdergan, et al. ALD of HafniumOxide Thin Films from Tetrakis(ethylmethylamino) hafnium and Ozone.Journal of The Electrochemical Society.2005,152(3). pp:213-219.
    [3.11] C. S. Kang, H.J. Cho, K.Onishi, R. Nieh, R. Choi,S. Gopalan, S. Krishnan, J. H.Han, and J. C. Lee, Applied Physics Letters.2002,81:2593-2595.
    [3.12] Y. K. Kim, J. R. Ahn, W. H. Choi, H. S. Lee and H. W. Yeom, PhysicalReview B.2003,68:075323.
    [3.13] D. M. Hausmann, E. Kim, J. Becker, and R. G. Gordon, Chem. Mater.,2002,14:4350.
    [3.14] R. G. Gordon, D. Hausmann, E. Kim, and J. Shepard, Chem. Vap. Deposition,2003,9(2):73.
    [3.15] O. Sneh, T. E. Seidel, and C. Galewski, U.S. Pat.6,638,2003, pp:859.
    [3.16] C. S. Kang, H.J. Cho, K. Onishi, R. Nieh, R. Choi, S. Gopalan, S. Krishnan, J.H. Han, J. C.Lee, Bonding states and electrical properties of ultrathin HfOxNygate dielectrics, Appl. Phys. Lett.2002,81:2593-2595.
    [3.17] Y. K. Kim, J. R. Ahn, W. H. Choi, H. S. Lee, H. W. Yeom, Mechanism ofinitial adsorption of NO on the Si(100) surface, Phys. Rev. B.2003,68:075323.
    [3.18] C. C. Hobbs, L. Fonseca, A. Knizhnik, V. Dhandapani, S. B. Samavedam, W. J.Taylor, J. M. Grant, L. G. Dip, D. H. Triyoso, R. I. Hedge, D. C. Gilmer, R.Garcia, D. Roan, M. L. Lovejoy, R. S. Rai, E. A. Hebert, H.-H. Tseng, S. G. H.Anderson, B. E. White, and P. J. Tobin, IEEE Trans. Electron Devices,2004,51,971.
    [3.19] M. Kadoshima, A. Ogawa, H. Ota, M. Ikeda, M. Takahashi, H. Satake, T.Nabatame, and A. Toriumi, Tech. Dig. VLSI Symp.2006,226.
    [3.20] E. Cartier, F. R. McFeely, V. Narayanan, P. Jamison, B. P. Linder, M. Copel, V.K. Paruchuri, V. S. Basker, R. Haight, D. Lim, R. Carruthers, T. Shaw, M.Steen, J. Sleight, J. Rubino, H. Deligianni, S. Guha, R. Jammy, and G. Shahidi,Tech. Dig. VLSI Symp.2005,230.
    [3.21] Y. Yamamoto, K. Kita, and A. Toriumi, Vfb Modification by Thin La2O3Insertion intoHfO2/SiO2Interface, Jpn. J. Appl. Phys.,2007, Part146,7251.
    [3.22] Onise Sharia, Alexander A. Demkov, Gennadi Bersuker, and B. H. Lee. Effectsof aluminum incorporation on band alignment at the SiO2/HfO2interface,2008,PHYSICAL REVIEW B77,085326.
    [3.23] O. Sharia, A. A. Demkov, G. Bersuker, and B. H. Lee, Theoretical study of theinsulator/insulator interface: Band alignment at the SiO2/HfO2junction Phys.Rev. B,2007,75,035306.
    [4.1] E. Bersch, S. Rangan, R. A. Bartynski, E. Garfunkel, and E. Vescovo, Bandoffsets of a ruthenium gate on ultrathin high-k oxide films on silicon, Phys. Rev.B,2008,78,085114.
    [4.2] C. C.Fulton, G.Lucovsky, and R. J. Nemanich, Process-dependent bandstructure changes of transition-metal (Ti,Zr,Hf) oxides on Si (100), Appl. Phys.Lett.2008,84,580.
    [4.3] J.-C. Lee, S.-J. Oh, M. Cho, C. S. Hwang, and R. Jung, Process-dependentband structure changes of transition-metal (Ti,Zr,Hf) oxides on Si (100),Appl.Phys. Lett.2008,84,1305.
    [4.4] Q. Li, S. J. Wang, K. B. Li, A. C. H. Huan, J. W. Chai, J. S. Pan, and C. K. Ong,Photoemission study of energy-band alignment for RuOx HfO2Si system,Appl.Phys. Lett.2004,85,6155.
    [4.5] E. Martinez, C. Leroux, N. Benedetto, C. Gaumer, M. Charbonnier, C. Licitra,C. Guedj, F. Fillot, and S. Lhostis, Electrical and Chemical Properties of theHfO2/SiO2/Si Stack: Impact of HfO2Thickness and Thermal Budget, J.Electrochem. Soc.2009,156, G120.
    [4.6] R. Puthenkovilakam and J. P. Chang, An accurate determination of barrierheights at the HfO2Si interfaces, J. Appl. Phys.2004,96,2701.
    [4.7] O. Renault, N. T. Barrett, D. Samour, and S. Quiais-Marthon, Electronics of theSiO2/HfO2interface by soft X-ray photoemission spectroscopy,Surf. Sci.2004,566–568,526.
    [4.8] J. Robertson, Band offsets of wide-band-gap oxides and implications for futureelectronic devices, J. Vac. Sci. Technol. B,2000,18,1785.
    [4.9] S. Sayan, T. Emge, E. Garfunkel, X. Zhou, L. Wielunski, R. A. Bartynski, D.Vanderbilt, J. S. Suehle, S. Suzer, and M. Banaszak-Holl, Band alignmentissues related to HfO2SiO2p-Si gate stacksJ. Appl. Phys.2004,96,7485.
    [4.10] T. Tanimura, S. Toyoda, H. Kumigashira, M. Oshima, K. Ikeda, G. L. Liu, Z.Liu, and K. Usuda, Analysis of x-ray irradiation effect in high-k gate dielectricsby time-dependent photoemission spectroscopy using synchrotronradiation,Surf. Interface Anal.2008,40,1606.
    [4.11] S. Toyoda, J. Okabayashi, H. Kumigashira, M. Oshima, K. Ono, M. Niwa, K.Usuda, and N. Hirashita, Chemistry and band offsets of HfO2thin films on Sirevealed by photoelectron spectroscopy and x-ray absorption spectroscopy,J.Electron Spectrosc. Relat. Phenom.2004,141,137–140.
    [4.12] J. Widiez, K. Kita, K. Tomida, T. Nishimura, and A. Toriumi, InternalPhotoemission over HfO2and Hf(1-x)SixO2High-k Insulating Barriers: BandOffset and Interfacial Dipole Characterization, Jpn. J. Appl. Phys.2008,47,2410.
    [4.13] Y. Zheng, A. T. S. Wee, K. L. Pey, C. Troadec, S. J. O’Shea, and N.Chandrashekar, Hot electron transport in Au–HfO2–SiO2–Si structures studiedby ballistic electron emission spectroscopy,Appl. Phys. Lett.2007,90,142915.
    [4.14] J. Price, G. Bersuker, and P. S. Lysaght. Identification of interfacial defects inhigh-k gate stack films by spectroscopic. J. Vac. Sci. Technol.,2009,p1071-1023.
    [4.15] J. Price, P. S. Lysaght, S. C. Song, Hong-Jyh Li, and A. C. Diebold. Chargetrapping defects in Si/SiO2/Hf(1x)SixO2film stacks characterized byspectroscopic second-harmonic generation. Appl. Phys. Lett.2007,91,p061925.
    [4.16] A. S. Ferlauto, G. M. Ferreira, J. M. Pearce, et al., Analytical model for theoptical functions of amorphous semiconductors from the near-infrared toultraviolet: Applications in thin film photovoltaics, J. Appl. Phys.2002,92,2424.
    [4.17] E. Bersch, M. Di,1S. Consiglio, R. D. Clark, G. J. Leusink, and A. C. Diebold,Complete band offset characterization of the HfO2/SiO2/Si stack using chargecorrected x-ray photoelectron spectroscopy, J. Appl. Phys.2010,107,043702.
    [4.18] S. A. Chambers, Y. Liang, Z. Yu, R. Droopad, J. Ramdani, and K. Eisenbeiser,Expitaxial LaAlO3thin film on silicon: Structure and electronic properties,Appl. Phys. Lett.2000,77,1662.
    [4.19] L. F. Edge, D. G. Schlom, S. A. Chambers, et al., Measyrement of thebandoffsets between amorphous LaAlO3and silicon, Appl. Phys. Lett.2004,84,726.
    [5.1] Depas M., Vermeire B., Mertens P. W., et al. Determination of TunnelingParameters in Ultra-thin oxide layer poly-Si/SiO2/structure.Solid-state-electronics.1995,38. p1466-1471.
    [5.2] Muller D. A., Sorsch T., Moccio S., et al. The electronic structure at the atomicscale of ultrathin gate oxides. Nature,1999, p399-758.
    [5.3] Tang S. P., Wallace R. M., Seabaugh A., et al. Evaluating the minimumthickness of gate oxide on silicon using first-principles method. Appl Surf Sci,1998, p136-137.
    [5.4] M. Yun, M..S. Kim, Y. D. Ko, et al. Effects of post-metallization annealing ofhigh-k dielectric thin films grown by MOMBE. Microelectronic Engineering,2005,77, p48-54.
    [5.5] M. M Hussain, M. A. Quevedo-Lopez, H. N. Alshareef, et al. Thermalannealing effects on a representative high-k/metal film stack. Semicond. Sci.Technol,2006,21, p1437-1440.
    [5.6] Z. K. Yang, W. C. Lee, Y. J. Lee, et al. Cubic HfO2doped with Y2O3epitaxialfilms on GaAs(001) of enhanced dielectric constant. Applied Physics Letters,2007,90.
    [5.7] G. C. F. Yeap, S. Krishnan. Fring-induced Barrier Lowering (FIBL) inSub-100nm MOSFETs with High-κ Gate Dielectrics. Electronics Letters,2008.Vol.34, No.11, p1150-1151.
    [5.8] J. A. Duffy. Bonding Energy Levels And Bands in Inorganic Solids. New York,Wiley,1990,p56-98.
    [5.9] Sato H., Lzumi A., Matsumura H. Ultrathin silicon nitride gate dielectricsprepared by catalytic chemical vapor deposition at low temperature. Appl PhysLett,2000,77(17), p2752-2754.
    [5.10] Gusev E. P., Copel M., Cartier E. High-resolution depth profiling in ultrathinAl2O3films on Si. Appl Phys Lett,2000, Vol.76, No.2, p176.
    [5.11] Kwo J., Hong M., Kortan A. R., et al. High epsilon gate dielectrics Gd2O3andY2O3for silicon. Appl Phys Lett,2000,77(1),p130:132.
    [5.12] Huang C. H., Chen S. B., Chin A. La2O3/Si0.3Ge0.7p-MOSFETs with high holemobility and good device characteristics. IEEE Elec Dev Lett,2002,23,p710-712.
    [5.13] Wu Y. H., Yang M. Y., Chin A., et al. Electrical characteristics of high qualityLa2O3gate dielectric with equivalent oxide thickness of5angstrom. IEEE ElecDev Lett,2000,21, p341-343.
    [5.14] Moonkyun Song, Shi-Woo Rhee. Direct liquid injection metal organicchemical vapor deposition of Nd2O3thin films usingTris(2,2,6,6-tetramethyl-3,5-heptanedio-nato) neodymium. Thin Solid Films,2005,492, p19-23.
    [5.15] Dalapati G. K., Chatterjee S., Samanta S. K., et al. Electrical characterization oflow temperature deposited TiO2films on Strained-SiGe layers. Appl Surf Sci,2003,210(324), p249.
    [5.16] Lonnum J F, Johannessen J S. Dual-frequency modified C-V technique,Electron. Lett.,1986,22(9):456-457.
    [5.17] Yang K J, Hu C. MOS capacitance measurements for high leakage thindielectrics, IEEE Trans. Electron Devices,1999,46(7):1500-1501.
    [5.18] Nara A, Yasuda N, Satake H, et al., Applicability limits of the two-frequencycapacitance measurement technique for the thickness extraction of ultrathingate oxide, IEEE Trans. Semicond. Manuf.,2002,15(2):209-213
    [5.19]刘红侠,蔡乃琼.针对新型HfO2栅介质改进的四元件电路模型双频CV法,西安电子科技大学学报.2008,35(6):1051-1055.
    [5.20] Luo Z, Ma T P. A new method to extract EOT of ultrathin gate dielectric withhigh leakage current, IEEE Electron Device Lett.,2004,25(9):655-657.
    [5.21] Lue H T, Liu C Y, Tseng T Y. An improved two-frequency method ofcapacitance measurement for SrTiO3as high-k gate dielectric, IEEE ElectronDevice Lett.,2002,23(9):553-555.
    [5.22] W.H.Wu, B.Y.Tsui, Y.P,Huang et al. Two-Frequency C-V Correction UsingFive-Element Circuit Model For High-k Gate Dielectric and Ultrathin Oxide.IEEE Electron Device Letters, vol27,399-401.
    [5.23] Yang L, Watling JR, Asenov A et al: Device performance in conventional andstrained Si n-MOSFETs with high-k gate stacks. In: Simulation ofSemiconductor Processes and Devices-SISPAD2004,2-4Sept2004; Berlin,Germany: Springer-Verlag;2004:199-202.
    [5.24] Y ang L, Watling JR, Adam-Lema F et al: Simulations of sub-100nm strainedSi MOSFETs with high-k gate stacks. In:200410th International Workshop onComputational Electronics,24-27Oct2004; Pisactaway, NJ, USA: IEEE;2004:30-31.
    [5.25] Wen-Kuan Y, Yu-Ting C, Fon-Shan H et al: The Improvement of High-k/MetalGate pMOSFET Performance and Reliability Using Optimized Si Cap/SiGeChannel Structure. IEEE Transactions on Device and Materials Reliability2011,11,7-12
    [5.26] Shrestha P, Gu D, Tapily K et al: Electrical properties of high-k ALD HfO2deposited on strained Si layers epitaxially grown on Si0.8Ge0.2/Si substrates.In: Atomic Layer Deposition Applications4-214th ECS Meeting, October13,2008-October15,2008; Honolulu, HI, United states: Electrochemical SocietyInc.;2008:51-58.
    [5.27] Curreem KKS, Lee PF, Wong KS et al: Comparison of interfacial and electricalcharacteristics of HfO2and HfAlO high-k dielectrics on compressively strainedSi1-xGex. Applied Physics Letters2006,88,182906-182901.
    [5.28] Bera MK, Mahata C, Maiti CK: Ultrathin high-k gate dielectric films onstrained-Si/SiGe heterolayers. IETE Journal of Research2007,53(Compendex):237-251.
    [5.29] Rim K, Koester S, Hargrove M et al: Strained Si NMOSFETs for highperformance CMOS technology. In:2001Symposium on VLSI TechnologyDigest of Technical Papers,12-14June2001; Tokyo, Japan: Japan Soc. Appl.Phys;2001:59-60.
    [5.30] D atta S, Dewey G, Doczy M et al: High mobility Si/SiGe strained channelMOS transistors with HfO2/TiN gate stack. In: IEEE International ElectronDevices Meeting2003,8-10Dec2003; Pisacataway, NJ, USA: IEEE;2003:28-21.
    [5.31] Samanta P, Zhu C, Chan M: Charge carrier generation/trapping mechanisms inHfO2/SiO2stack. Microelectronic Engineering,2007,84(9-10):1964-1967.
    [5.32] G ilmer DC, Schaeffer JK, Taylor WJ et al: Strained SiGe Channels forBand-Edge PMOS Threshold Voltages with Metal Gates and High-kDielectrics. IEEE Transactions on Electron Devices2010,57:898-904.
    [5.33] Huang J, Kirsch PD, Oh J et al: Mechanisms limiting EOT scaling and gateleakage currents of high-k/Metal gate stacks directly on SiGe. IEEE ElectronDevice Letters2009,30:286-287.
    [5.34] Naito Y, Helin P, Nakamura K et al: High-Q torsional mode Si triangular beamresonators encapsulated using SiGe thin film. In:2010IEEE InternationalElectron Devices Meeting, IEDM2010, December6,2010-December8,2010;San Francisco, CA, United states: Institute of Electrical and ElectronicsEngineers Inc.;2010:7.1.1-7.1.4.
    [5.35] Haartman M, Malm BG, Mikael O: Comprehensive study on low-frequencynoise and mobility in Si and SiGe pMOSFETs with high-k gate dielectrics andTiN gate. IEEE Transactions on Electron Devices2006,53:836-843.
    [6.1] T. N. Theis, J. R. Kirtley, D. J. DiMaria, et al. Charge transport and trappingphenomena in off-stoichiometric silicon dioxide films. Phys. Rev. Lett.,1983,50,750.
    [6.2] D. J. DiMaria, T. N. Theis, J. R. Kirtley, et al. Electron heating in silicondioxide and off-stoichiometric silicon dioxide films. Appl. Phys.57,1983,1214.
    [6.3] W. T. Lynch, Calculation of electric field breakdown in quartz as determinedby dielectric dispersion analysis. J. Appl. Phys.43,1972,3274.
    [6.4] D. K. Ferry, Electron transport at high fields in a-SiO2. Appl. Phys. Lett.1975,27,689.
    [6.5] H.J. Fitting and J.U. Friemann Phys. Monte-Carlo Studies of the ElectronMobility in SiO2.Status Soliid (a),1982,69,349.
    [6.6] M. V. Fischetti, D. J. DiMaria, S. D. Brorson, T. N. Theis, and J. R. Kirtley.Theory of high-field electron transport in silicon dioxide. Phys. Rev B,1985,31,8124-8142.
    [6.7] S. D. Brorson, D. J. DiMaria, M. V. Fischetti, F. L. Pesavento, P. M. Solomon,and D. W. Dong.Direct measurement of the energy distribution of hot electronsin silicon dioxide. J. Appl. Phys.1985,58,1302.
    [6.8] D. K. Ferry.Electron transport and breakdown in SiO2. J. Appl. Phys.1979,50,1422.
    [6.9] R. C. Hughes, Charge-Carrier Transport Phenomena in Amorphous SiO2:Direct Measurement of the Drift Mobility and Lifetime. Phys. Rev. Lett.26,1973,1333; Solid-State Electron.,1978,21,251.
    [6.10] C. N. Berglund and R. J. Powell, Photoinjection into SiO2: Electron Scatteringin the Image Force Potential Well. J. Appl. Phys.,1971,42,573.
    [6.11] J. Maserjian and N. Zamani, Behavior of te Si/SiO2interface ovserved byFowler-Nordheim tunneling. J. Appl. Phys.,1982,53,559.
    [6.12] P. Solomon, in The Physics of Si02and Its Interfaces, edited by Sokrates T.Pantelides, Pergamon, New York,1978,36-39.
    [6.13] Massimo V. Fischetti, Monte Carlo Solution to the Problem of High-FieldElectron Heating in SiO2.Phys. Rev. Lett.,1984,53,1755.
    [6.14] M. Sparks, D. L. Mills, R. Warren, T. Holstein, A. A. Maradudin, L. J. Sham,E. Loh, and D. F. King, Theory of electron-avalanche breakdown insolids.Phys. Rev.,1981,24,3519.
    [6.15] D. Arnold, E. Cartier, and D. J. DiMaria, Mechanism for stress‐inducedleakage currents in thin silicon dioxide films Phys. Rev.49,1994,10278-10297.
    [6.16]叶良修.小尺寸半导体器件的蒙特卡罗模拟.科学出版社.1997.
    [6.17] D. K. Ferry, Semiconductors, Macmillan, New York,1991.
    [6.18] W.Fawcett,D.A.Boardman,and S.Swain, Monte Carlo determination ofelectron transport properties in gallium arsenide. J.Phys.Chem.Solids,1970,31.
    [6.19] C. Kittel, Quantum Theory of Solids, Wiley, New York,1963,130-142.
    [6.20] H. Frohlich, Electrons in lattice fields.Proc. R. Soc. Adv. Phys.,1954,3,325.
    [6.21] J. Bardeen and W. Shockley, Scattering of electrons in crystals in the presenceof large electric fields. Phys. Rev.1950,80,72.
    [6.22] W. A. Harrison, Scattering of Electrons by Lattice Vibrations in NonpolarCrystals Phys. Rev.,1956,104,1281.
    [6.23] K. Seeger, Semiconductor Physics. Springer, Wien, New York,1973, Chap.4and6.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700