用户名: 密码: 验证码:
嵌入式系统节能调度算法研究与设计
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着半导体芯片技术的快速发展,能量消耗已经成为嵌入式系统的一个重要设计课题和性能指标。一些节能技术如动态电压调节,动态电源管理,和自适应衬底偏置以及它们的混合为降低嵌入式系统的能量消耗提供了很好的机会。任务调度和电压选择在能量最小化方面起着积极作用。因此将节能技术并入调度算法对嵌入式系统节能变得重要起来。事实上,数据或者控制依赖对节能有着负面影响。因此,在节能调度算法的设计中这一因素的负面影响需要被有效地解决。考虑到重定时有向无环图能够有效地克服迭代内数据依赖的影响,从而为降低调度长度或能量消耗提供更多的机会,本文以重定时有向无环图为调度对象设计了几个节能策略。
     本文的主要研究工作概括如下:
     1.如果一个调度是基于重定时有向无环图产生的,并且所有的任务都执行两个性能模式,恰当地重排序任务顺序和每个任务的性能模式顺序能够产生更多的松弛用于降低能量消耗。为了提供更多的机会降低能量消耗,利用重定时有向无环图只有迭代间数据依赖这一特点以及一个任务重排序性能模式顺序对任务执行没有影响这一优点,提出了一个技术重排序任务和性能模式。首先,当一个组件上的一个任务被设置为第一个执行的任务时,对于该组件上给定的任务集,计算最小的电压转换时间。然后从这些最小电压转换时间里选择一个最小的作为该组件上任务集的最小电压转换时间。相应任务顺序和性能模式顺序是最终要执行的任务和性能模式顺序。
     2.许多处理器如PXA255, AMD Mobile Athlon4, Transmeta’s Crusoe具有动态电压调节能力。此外,多核体系结构已经占领了嵌入式系统市场。在电压转换时间是固定的或者可以忽略不计的情况下,为了降低具有动态电压调节能力的多核系统的能量消耗,提出了一个用于最小化多核系统能量消耗的算法。提出的算法考虑了性能模式转换开销和处理核之间的通信开销,该算法用于降低含有依赖任务并具有公共时间限制的应用程序的电压转换能量消耗和动态能量消耗。首先,提出的算法在给定时间限制下通过选择合理的任务映射和频率安排获取最小的初始调度长度。然后,它迭代地选择任务进行频率调节以便当将被选择的任务降低一个频率并把被选择的任务所在的处理核上的任务按降电压顺序执行时,产生最小的能量消耗。
     3.日益缩小的特征尺寸导致在未来泄露能量会超过动态能量。动态电压调节和自适应衬底偏置是同时降低动态能量和泄露能量的有效手段。为了响应这一趋势,提出了一个算法应用上述两种技术降低具有硬时间限制的应用程序在多核系统上的能量消耗。首先,提出的方法确定初始的任务顺序和频率安排以在给定的时间限制下获得最小的初始调度长度。然后它迭代地选择候选任务,调节候选任务的频率以获得最大的压缩能量和增长时间的比值。为了能够获得更多的松弛以降低能量消耗,它在每次频率调节后重排序侯选任务所在处理核的任务。
     4.近年来,新的多核系统被提出作为降低能量消耗的颇有前景的办法。在这样的系统里不仅处理核而且总线具有动态电压调节和自适应衬底偏置能力。对于这样的系统,一个算法被提出用来降低处理核和通信链路的能量消耗。首先,提出的算法利用映射选择以降低处理核之间的通讯量。然后,它通过同时调节计算任务和总线的频率以获得最大的压缩能量和增长时间比。这样的操作一直进行到进一步调节会导致背离给定时间限制为止。
With the rapid growth of semi-conductor chip’s technology, energy consumption has become an important design issue and performance metric in embedded systems. Some energy saving techniques such as dynamic voltage scaling, dynamic power management, adaptive body biasing and their combinations offer good opportunities to decrease energy consumption in embedded systems. Task scheduling and voltage selection play active roles in energy minimization. Therefore, the integration of energy saving techniques into the design of scheduling algorithms becomes very important for embedded systems to decrease energy consumption. In fact, data or control dependencies are pervasive in many applications while data dependencies or control dependencies have negative effects on energy conservation, which, therefore, are also required to be solved in the design of energy-efficient scheduling algorithms effectively. Considering retimed directed acyclic graphs can effectively overcome the effect of intra-iteration data dependencies so that more opportunities can be provided to decrease scheduling length and energy consumption, this thesis designed several energy efficient policies which take retimed directed acyclic graphs as scheduled objects to realize energy conservation.
     The main research work in this thesis can be summarized as follows:
     1. If a task scheduling is generated based on a retimed directed acyclic graph and all the tasks are executed with two performance modes, appropriately reordering both task order and performance mode order of each task can generate more slacks to decrease energy consumption. To provide more opportunities to lower energy consumption, a technique is proposed to reorder both task and performance mode that employ the character of only inter-iteration data dependencies of retimed directed acyclic graphs and the merit of performance mode reordering that has no effect on task execution. Firstly, the minimum voltage transition time is calculated for the given task set on a component when one task of the task set is set the first task to be executed.Then, selecting the smallest one from these minimum voltage transition time as the minimum voltage transition time for the given task set on the corresponding component.The corresponding task order and performance mode order are the final task order and performance mode order to be executed.
     2. Many processors, such as PXA255, AMD Mobile Athlon4, Transmeta’s Crusoe are equipped with dyanic voltage scaling ability. In addition, multi-core architecture has dominated the market of embedded systems. In order to decrease energy consumption in multi-core systems with dynamic voltage scaling ability, an algorithm is proposed to minimize energy consumption of multi-core systems when voltage transition time is fixed or negligible. The proposed algorithm reduces both transition and dynamic energy consumption for applications including dependent tasks with common timing constraints considering transition overhead between performance modes and communication overhead between processor cores. First, the proposed algorithm achieves the minimum initial scheduling length by choosing reasonable task mapping and frequency assignment under given timing constraint. Then, it iteratively selects tasks to conduct frequency scaling so that the minimum energy consumption can be generated when decreasing the frequency of the chosen tasks to adjacent frequency and executing tasks in decreasing voltage levels on the processor core where the scaled tasks locate.
     3. The trend of increasingly shrunken feacture size will lead to leakage energy larger than dynamic energy consumption in the future. The combination of dynamic voltage scaling and adaptive body bias is an efficient method for joint dynamic and leakage enrgy reduction. In response to this trend, an algorithm is proposed to apply above two techniques to decrease energy consumption for applications with hard timing constraints on multi-core systems. First, the proposed method determines initial task order and frequency assignment to get the minimum initial scheduling length under given timing constraint. Then it iteratively selects candidate task and scales the frequency of the candidate task to achieve the maximum ratio of reduced energy to increased time. In order to achieve more slacks to decrease energy consumption, it reorders tasks on the processor core where candidate tasks locate after each frequency scaling.
     4. In recent years, new multi-core systems in which not only processor cores but also buses feature dynamic voltage scaling and adaptive body bias capabilities have been proposed as a promising solution to decrease energy consumption. An algorithm is proposed for such systems to decrease energy consumption of both processor cores and communication links by the combination of dynamic voltage scaling, adaptive body bias and dynamic power management. First, the proposed algorithm utilizes the choice of mapping algorithm to decrease volume of communication among processor cores. Then it achieves the maximum ratio of reduced energy to increased time by scaling frequencies of both candidate computation tasks and the bus. This operation is continued until further scaling will lead to violate given timing constraint.
引文
[1]张友德,谢伟毅.单片机原理与应用技术[M].北京:机械工业出版社, 2004, 21.
    [2]沈永林,松崎敏道.嵌入式单片机技术[M].北京:清华大学出版社, 2006, 231.
    [3]雷航,崔金钟,杨霞等.嵌入式系统原理及应用开发技术[M].北京:高等教育出版社, 2008, 2.
    [4]周立功. ARM嵌入式系统基础教程[M].北京:北京航空航天大学出版社, 2005, 2.
    [5]陈文智.嵌入式系统开发原理与实践[M].北京:清华大学出版社, 2005,1.
    [6]黄国睿,张平,魏广博.多核处理器的关键技术及其发展趋势[J].计算机工程与设计, 2009, 30(10): 2414-2418.
    [7] Liu H, Shao Z, Wang M, et al. Overhead-aware system-level joint energy and performance optimization for streaming applications on multiprocessor systems-on-chip [C]. Proceedings of Euromicro Conference on Real-Time Systems (ECRTS 08), Prague, Czech republic, IEEE Press, 2008: 92-101.
    [8]杨振华,陈明,赵建勋.计算机中的流水线技术[J].科技信息, 2009, (3): 79, 80, 134.
    [9] http://cpu.zol.com.cn/207/2073470.html.
    [10] Hung W, Xie Y, Vijaykrishnan N, et al. Total power optimization through simultaneously multiple-VDD multiple-VTH assignment and device sizing with stack forcing [C]. Proceedings of 2004 International Symposium on Low Power Electronics and Design(ISLP’04), Newport Beach, California, USA, IEEE Press, 2004: 144-149.
    [11] http://news.xinhuanet.com/tech/2009-03/19/content_11034505.htm.
    [12]罗浩. SoC技术简述[J].湖北水利水电职业技术学院学报, 2006, 2(1): 32-38.
    [13]胡伟武.纳米级工艺对微处理器设计的挑战[J].中国集成电路, 2008, 17(7): 10-24.
    [14]郑扣根,唐杰,何通能等.嵌入式系统:使用68HC12和HCS12的设计与应用[M].北京:电子工业出版社, 2006, 2.
    [15] Wang L, Laszewski G, Dayal J N, et al. Towards energy aware scheduling for precedence constrained parallel tasks in a cluster with dvfs [C]. Proceedings of 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing (CCGrid), Melbourne, VIC, Australia, IEEE Press, 2010: 368-377.
    [16]黄江伟. DVS技术支持的移动嵌入式系统软件低功耗计算研究[D].杭州:浙江大学, 2009.
    [17]江维.任务关键实时系统的可信感知调度研究[D].成都:电子科技大学, 2009.
    [18] Minerick R J, Freeh V W, Kogge P M. Dynamic power management using feedback[C]. Proceedings of Workshop on Compilers and Operating Systems for Low Power,Charlottesville, Virginia, USA, IEEE Press, 2002: 1-10.
    [19] Liu S, Qiu M. A discrete dynamic voltage and frequency scaling algorithm based on task graph unrolling for multiprocessor system scalable computing and communications [C]. Proceedings of Eighth International Conference on Embedded Computing, Dalian, China, IEEE Press, 2009: 3-8.
    [20]韩建军,李庆华,缪天鹏.多处理器计算环境中基于能量节约的实时动态调度算法[J].小型微型计算机系统, 2006, 27(5): 866-872.
    [21]韩建军,李庆华,缪天鹏等.实时多处理器系统中基于能量节约的动态调度算法[J].小型微型计算机系统, 2006, 27(4): 691-694.
    [22]行政院国家科学委员会专题研究计划期中进度报告[R]. 2004.
    [23] Watts C.嵌入式系统功耗的动态管理[J].电子设计应用, 2004, 6: 9-12.
    [24]周宽久,迟宗正,西方.嵌入式软硬件低功耗优化研究综述[J].计算机应用研究, 2010, 27(2): 423-428.
    [25]卜爱国.嵌入式系统动态低功耗设计策略的研究[D].南京:东南大学, 2006.
    [26] Lu Y H, Micheli G D. Adaptive hard disk power management on personal computers [C]. Proceedings of Ninth Great Lakes Symposium on VLSI, Ann Arbor, MI, USA, IEEE Press, 1999: 50-53.
    [27] Eisley N, Soteriou V, Peh L S, et al. High-level power analysis for multi-core chips [C]. Proceedings of the 2006 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, Seoul, Korea, 2006: 389-400.
    [28]张冬松,陈芳园,金士尧.多核系统中基于动态电压频率调节的实时节能调度研究[J].计算机工程与科学, 2010, 32(9): 157-164.
    [29]陈国兵.嵌入式异构多核体系的片上通信[D].杭州:浙江大学, 2007.
    [30]黄国睿,张平,魏广博.多核处理器的关键技术及其发展趋势[J].计算机工程与设计, 2009, 30(10): 2414-2418.
    [31] Kurnar R, Farkas K l, Jouppi N P, et a1. Single-ISA heterogeneous multi-core architectures: the potential for processor power reduction [C]. Proceedings of the 36th International Symposium on Microarchitecture, IEEE Press, 2003: 81-92.
    [32] Zhu D, MosséD, Melhem R. power aware scheduling for and/or graphs in real-time systems [J]. IEEE Transactions on Parallel and Distributed Systems, 2004, 15(9): 849 -864.
    [33] http://www.transmeta.com.
    [34] http://developer.intel.com/design/intelxscale/benchmarks.htm.
    [35]胡泽明,王志刚,岳春生.嵌入式GIS系统软件的低功耗设计[J].单片机与嵌入式系统应用, 2006, (3): 15-17.
    [36] Zeng G, Tomiyama H, Takada H. Dynamic power management for embedded system idle state in the presence of periodic interrupt services [J]. Information and Media Technologies, 2008, 3(4): 661-670.
    [37] Benini, L, Bogliolo, A, De Micheli, G. A survey of design techniques for system-level dynamic power management [J]. IEEE Transactions on Very Large Scale Integration Systems (VLSI), 2000, 8(4): 299-316.
    [38]郑杰,郭隐彪.嵌入式系统中的低功耗设计[J].机电技术增刊, 2004: 69-72.
    [39]李伟.嵌入式系统的低功耗设计策略[J].煤炭技术, 2010, 29(10): 44-45.
    [40]张冬松.实时系统的节能调度技术研究[D].长沙:国防科学技术大学, 2007.
    [41] Martin S M, Flautner K, Mudge T, et al. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads [C]. Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design,SanJose,California, IEEE Press, 2002: 721–725.
    [42] Hua G C, Wang M, Shao Z L, Liu H, et al. Real-time loop scheduling with energy optimization via DVS and ABB for multi-core embedded system [C]. Proceedings of International Conference on Embedded and Ubiquitous Computing, Taipei, Taiwan, IEEE Press, 2007: 1-12.
    [43] http://shunlang.blogbus.com/logs/63152978.html.
    [44]唐立三.实时任务功耗优化技术研究[D].上海:上海大学, 2009.
    [45]孙静莹. CMOS集成电路设计中的热问题及其分析方法的研究[D].北京:北京工业大学, 2007.
    [46]马芝.低功耗方法在SoC芯片设计中的应用[J].中国集成电路, 2010, 19(7): 38-41, 46.
    [47]赵国宇.创建理想的低功耗设计[J].今日电子, 2009, (2): 57-59.
    [48]马庆容. SoC芯片的低功耗设计[D]. 2007.
    [49]陈志强.超深亚微米CMOS集成电路功耗估计方法及相关算法研究[D].上海:复旦大学, 2006.
    [50]马继贵.基于IVC技术的静态功耗优化方法研究[D].哈尔滨:哈尔滨工程大学, 2008.
    [51] Prasad S《电子设计技术》2010, (8): 46-54.
    [52]徐勇军.集成电路功耗估计及低功耗设计[D].北京:中国科学院计算技术研究所, 2006.
    [53]郭铭铭.低功耗CMOS集成电路设计方法的研究[D].合肥:合肥工业大学, 2007.
    [54] http://platojoy.blog.sohu.com/25130474.html.
    [55] Duarte D, Vijaykrishnan N., Irwin M J, et al. Impact of scaling on the effectiveness of dynamic power reduction schemes[C]. Proceedings of 2002 IEEE International Conference on Computer Design: VLSI in Computers and Processors, Freiburg, Germany,IEEE Press, 2002: 382–387.
    [56] Shang L, Peh L S, Jha N K. Dynamic voltage scaling with links for power optimization of interconnection networks [C]. Proceedings of the Ninth International Symposium on High- Performance Computer Architecture (HPCA’03), Anaheim, California, USA, IEEE Press, 2003: 91-102.
    [57] Burd T, Brodersen R. Design issues for dynamic voltage scaling [C]. Proceedings of 2000 International Symposium on Low Power Electronics and Design, Rapallo, Italy, ACM Press, 2000: 9-14.
    [58]刘惠,陈平,杜军朝.可变电压处理器的最优动态电压选择算法[J].西安电子科技大学学报, 2009,36(6): 486-490, 540.
    [59] Taewhan Kim. Application-driven low-power techniques using dynamic voltage scaling [C]. Proceedings of 12th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, Beijing, China, IEEE Press, 2006: 199-206.
    [60] Kumar R, Kursun V. Reversed temperature dependent propagation delay characteristics in nanometer CMOS circuits [J]. IEEE Transactions on Circuits and Systems-II, 2006, 53(10): 1078-1082.
    [61] Kursun V, Friedman E G. Multi-Voltage CMOS Circuit Design [M]. John Wiley and Sons Ltd., 2006.
    [62] Burd T D, Brodersen R W. Design issues in dynamic voltage scaling [C]. Proceedings of the IEEE International Symposium on Low Power Electronics and Design, Rapallo/Portacino Coast, Italy, IEEE Press, 2000: 9-14.
    [63] Kumar R, Kursun V. Temperature-adaptive body-bias and supply voltage scaling for enhanced energy efficiency in nano-CMOS circuits[C]. 50th Midwest Symposium on Circuits and Systems, Cairo, Egypt, IEEE Press, 2007: 702-705.
    [64] Kao J T, Miyazaki M, Chandrakasan A. A 175-mv multiply-accumulate unit using an adaptive supply voltage and body bias architecture [J].IEEE Journal of Solid-State Circuits, 2002, 37 (11): 1545- 1554.
    [65] Burd T D, Pering T A, Stratakos A J, et al. A dynamic voltage scaled microprocessor system, [J].IEEE Journal of Solid State Circuits, 2000, 35(11): 1571-80.
    [66] Qiu M, Jia Z, Xue C, et al. Voltage assignment with guaranteed probability satisfying timing constraint for real-time multiproceesor DSP [J]. Journal of VLSI Signal Processing Systems, 2007, 46(1): 55-73.
    [67] Wu D, Al-Hashimi B M, Eles P. Scheduling and mapping of conditional task graphs for the synthesis of low power embedded systems [C]. Proceedings of Design, Automation and Test in Europe Conference and Exhibition, Munich, Germany, IEEE Press, 2003 :90-95.
    [68] Kaul H, Sylvester D, Blaauw D, et al. DVS for on-chip bus designs based on timing error correction [C]. Proceedings of Design, Automation and Test in Europe Conference and Exposition(DATE 2005), Munich, Germany, IEEE Press, 2005: 80-85.
    [69]钱杰. DVS节能技术与EDF调度结合的节能算法[D].杭州:浙江大学, 2007.
    [70] Woonseok K, Jihong K, Sang L M. A dynamic voltage scaling algorithm for dynamic-priority hard real-time systems using slack time analysis [C]. Proceedings of 2002 Design, Automation and Test in Europe Conference and Exposition Paris, France, IEEE Press, 2002: 788-794.
    [71] Kianzad V, Bhattacharyya S S, Gang Q. CASPER: an integrated energy-driven approach for task graph scheduling on distributed embedded systems [C]. Proceedings of 16th IEEE International Conference on Application-Specific Systems, Architecture Processors, Washington, DC, USA, IEEE Press, 2005: 191-197.
    [72] Shao Z, Wang M, Chen Y, et al. Real-Time Dynamic Voltage Loop Scheduling for Multi-Core Embedded Systems [J].IEEE Transactions on Circuits and Systems II, 2007, 54(5): 445– 449.
    [73] Kumar Rand, Kursun V. Temperature-adaptive energy reduction for ultra-low power-supply-voltage subthreshold logic circuits[C]. Proceedings of the IEEE International Conference on Electronics, Circuits, and Systems, Marrakech, Morocco, IEEE Press, 2007: 1280-1283.
    [74] Wann C H, Chenming H, Noda K. Channel doping engineering of MOSFET with adaptable threshold voltage using body effect for low voltage and low power applications [C]. Proceedings of the International Symposium of VLSI Technology, Taipei, Taiwan, IEEE Press, 1995: 159–163.
    [75] Sesic A, Dautovic S, Malbasa V. Dynamic Power Management of a System With a Two-Priority Request Queue Using Probabilistic- Model Checking [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2008, 27(2): 403-407.
    [76]江琦,奚宏生,殷保群.动态电源管理超时策略与随机型策略的等效关系[J].计算机辅助设计与图形学学报,2009,21(11):1646-1651.
    [77] Benini L, De Micheli G. Dynamic power management: design techniques and CAD tools. [M]. Norwell, Mass : Kluwer, 1997.
    [78] Andrei A, Schmitz M, Elesand P, et al. Simultaneous communication and processor voltage scaling for dynamic and leakage energy reduction in time constrained systems [C]. Proceedings of the IEEE/ACM 2004 International Conference on Computer-Aided Design, Washington, DC, IEEE Press, 2004: 362-369.
    [79] Andrei A, Eles P, Peng Z, et al. Energy optimization of multiprocessor systems on chip by voltage selection [J]. IEEE Trans. Very Large Scale Integr. Syst., 2007, 15(3): 262-275.
    [80] Andrei A, Schmitz M, Eles P, et al. Overhead-concious voltage selection for dynamic and leakage energy reduction of time-constrained systems [C]. IEE Proceedings of Computers and Digital Techniques, Munich, Germany, 2005: 28- 38.
    [81] Mochocki B, Hu X, Quan G. Transition overhead aware voltage scheduling for fixed-priority real-time system [J]. ACM Transactions on Design Automation of Electronic Systems 2007,12 (2):1-26.
    [82] Yan, L, Zhong L, Jha N K.User-perceived latency driven voltage scaling for interactive applications[C]. Proceedings of IEEE Design Automation Conference, San Diego, CA, USA, IEEE Press, 2005: 624-627.
    [83]钟虓,齐勇,侯迪等.基于DVS的多核实时系统节能调度[J].电子学报, 2006, 34(12): 2481-2484.
    [84] Yang C Y, Chen J J, Kuo T W. Preemption control for energy-efficient task scheduling in systems with a DVS processor and non-DVS devices[C]. Proceedings of 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, Daegu, Korea, IEEE Press, 2007: 293-300.
    [85] Intel, PXA255 Processor, Electrical, Mechanical, and Thermal Specification, 2004.
    [86] LongRun2 Technology, http://www.transmeta.com/longrun2/index.html.
    [87] Intel, PXA270 Processor, Electrical, Mechanical, and Thermal Specification, 2004.
    [88]阮幼林,刘干,韩建军等.一种采用动态电压调整的实时节能调度算法[J].小型微型计算机系统,2008,29(4):694-497.
    [89] Gruian F. System-level design methods for low-energy architectures containing variable voltage processors [C]. Proceedings of Power-Aware Computing Systems, First International Workshop, Cambridge, MA, USA, Springer Press, 2000:1-12.
    [90]刘云生,张锐,许贵平.基于静态表驱动的实时调度能量优化算法[J].计算机工程与科学, 2007: 29(2) : 73-75, 88.
    [91] Hong I, Kirovski D, Qu G, et a1. Power optimization of variable voltage core-based systems [J]. IEEE Transaction on Computer-Aided Design of Integrated Circuits and Systems, 1999, 18(12): 1702-1714.
    [92] Yun H S, Kim J. On energy optimal voltage scheduling for fixed priority hard real time systems [J]. ACM Transactions on Embedded Computing Systems, 2003, 2(3): 393-430.
    [93] Zhu Y F, Frank M. Feedback EDF scheduling exploiting hardware assisted asynchronous dynamic voltage scaling [C]. Proceedings of the 2005 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems, Chicago, Illinois,USA, ACM Press, 2005: 203-212.
    [94] Girish V, Radu M. Communication-Aware Task Scheduling and Voltage Selection for Total Systems Energy Minimization [C]. Proceedings of the 2003 International Conference on Computer-Aided Design, San Jose, CA, USA, IEEE Press, 2003: 510-517.
    [95] Pedro Mejía Alvarez, Eugene Levner, Daniel Mossé. Adaptive scheduling server for power aware realtime tasks[J]. ACM Transactions on Embedded Computing Systems, 2004, 3 (2): 284-306.
    [96] Seo E, Jeong J, Park S, et a1. Energy efficient scheduling of real-time tasks on multicore processors [J]. IEEE Transactions onParallel and Distributed Systems, 2008, 19(11): 1540-1552.
    [97] Weiser M, Welch B, Demers A, et a1.Scheduling for reduced CPU energy [C]. Proceedings of the First Symposium on Operating Systems Design and Implementation, Monterey, California, Springer Press, 1994: 13-23.
    [98]解玉凤,魏少军.实时周期任务的非占先式能耗感知调度[J].计算机辅助设计与图形学学报, 2006, 18(2): 245-250.
    [99]韩建军,刘同涛,李庆华等.基于任务同步及节能的单机系统实时动态调度算法[J].高技术通讯, 2008, 18(11): 1180-1186.
    [100]Quan G, Hu X. Energy efficient fixed priority scheduling for real-time systems on variable voltage processors [C]. Proceedings of the 38th IEEE/ACM Design Automation Conference, Las Vegas, NV, ACM Press, 2001: 828–833.
    [101]Hong I, Kirovski D, Qu G, et a1. Power optimization of variable voltage core based systems [J]. IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, 1999, 18(12): 1702-1714.
    [102]Kwon W C, Kim T. Optimal voltage allocation techniques for dynamically variable voltage processors [C]. Proceedings of the 40th Design Automation Conference, Anaheim, CA, USA, ACM Press, 2003:125–130.
    [103]Lee Y H, Doh Y, Krishna C M. EDF scheduling using two-mode voltage-clock-scaling for hard real-time system [C]. Proceedings of International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, Atlanta, Georgia, USA, ACM Press, 2001: 221–228.
    [104]邢静宇,张立臣.动态电压调整多处理器实时系统任务调度[J].微电子学与计算机, 2006, 23(2): 51-57, 61.
    [105]桑楠,李保宇,马红.多处理器的节能调度算法[J].电子科技大学学报, 2008, 37(1):116-119.
    [106]韩建军,吴晓东,李庆华等.硬实时系统中基于任务同步及节能的动态调度算法[J].通信学报, 2009, 30(11): 15-26.
    [107]Luo J, Jha N K. Power-efficient scheduling for heterogeneous distributed real-time embedded systems [J]. IEEE Transaction on Computer-Aided Design of Integrated Circuits and System, 2007, 26(6): 1161-1170.
    [108]Ahmad R, Arora D, White V, et a1. Energy-constrained scheduling of DAGs on multi-core processors [C]. Proceedings of the International Conference on Contemporary Computing (IC3 2009), JIIT University, Noida, India, Springer Press, 2009: 592-603.
    [109]Lee W Y. Energy-Saving DVFS Scheduling of Multiple Periodic Real-Time Tasks on Multi-core Processors [C]. 13th IEEE/ACM International Symposium on Distributed Simulation and Real Time Applications, Singapore, IEEE Press, 2009: 216-223.
    [110]Lee W Y, Lee H. Energy-efficient scheduling for multiprocessors [J].Electronics Letters, 2006, 42(21): 1200-1201.
    [111]Anderson J H, Baruah S K. Energy-efficient synthesis of periodic task systems upon identical multiprocessor platforms [C]. Proceedings of the 24th International Conference on Distributed Computing Systems, Tokyo, Japan, IEEE Press, 2004: 428-435.
    [112]Xian C, Lu Y H, Li Z. Energy-aware scheduling for real-time multiprocessor systems with uncertain task execution time [C]. Proceedings of the 44th Design Automation Conference San Diego, CA, USA, IEEE Press, 2007: 664-669.
    [113]AlEnawy T A, Aydin H. Energy-aware task allocation for rate monotonic scheduling [C]. Proceedings of 11th IEEE Real-Time and Embedded Technology and Applications Symposium, San Francisco, California, IEEE Press, 2005: 213–223.
    [114]Zeng G, Tomiyama H, Takada H. Power Optimization for Embedded System Idle Time in the Presence of Periodic Interrupt Services [C]. Proceedings of International Embedded Systems Symposium, Irvine, CA, USA, Springer Press, 2007: 241-254.
    [115]Kang J, Ranka S.Assignment algorithm for energy minimization on parallel machines [C]. 2009 International Conference on Parallel Processing Workshops, Vienna, Austria, IEEE Press, 2009: 484-491.
    [116]Pandey S, Murgan T, Glesner M. Energy Conscious Simultaneous Voltage Scaling and On-chip Communication Bus Synthesis [C]. International Conference on Very Large Scale Integration of System-on-Chip, Nice, France, IEEE Press, 2006: 296-301.
    [117]Yang C C, Wang K C, Lin M H, et a1. Energy efficient intra-task dynamic voltage scaling for realistic cpus of mobile devices [J]. Journal of Information Science and Engineering, 2009, 25(1): 251-272.
    [118]张骏,樊晓娅,刘松鹤.多核多线程处理器的低功耗设计技术研究[J].计算机科学, 2007, 34(10): 301-305.
    [119]Yan L, Luo J, Jha N K. Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems [J]. IEEE Transactions on CAD of Integrated Circuits and Systems, 2005, 24 (7): 1030-1041.
    [120]Banerjee K, Mehrotra A. A power-optimal repeater insertion methodology for global interconnects in nanometer designs [J]. IEEE Transactions on Electron Devices, 2002, 49(11): 2001–2007.
    [121]Kim C H, Roy K. Dynamic VTH scaling scheme for active leakage power reduction [C]. Proceedings of Design, Automation and Test in Europe Conference and Exhibition, Paris, France, IEEE Press, 2002: 163-167.
    [122]Stiffler S. Optimizing performance and power for 130 nanometer and beyond[J]. IBM Microelectronics, 2003 (13):2.
    [123]粟雅娟,魏少军.深亚微米功耗优化的简化模型[J].半导体学报, 2005, 26(3): 595-600.
    [124]Duarte D, Vijaykrishnan N, Irwin M J, et a1. Impact of scaling on the effectiveness of dynamic power reduction schemes [C]. Proceeding of International Conference on Computer Design, Freiburg, Germany, IEEE Press, 2002: 382–387.
    [125]Calhoun B, Chandrakasan A. Characterizing and modeling minimum energy operation for subthreshold circuits[C]. Proceeding of The International Symposium on Low Power Electronics and Design, Newport, California, ACM Press, 2004: 90–95.
    [126]Chen D R, Hsu C C. Transition-Aware Dynamic Voltage Scaling for Jitter-Controlled Real-Time Scheduling: A Tree-Structured Approach [C]. Proceedings of the 38th International Conference on Parallel Processing, Vienna, Austria. Los Alamitos, California, IEEE Press, 2009: 27-34.
    [127]Qiu M, Wu J , Hu J, He Yi, et a1. Dynamic and Leakage Power Minimization with Loop Voltage Scheduling and Assignment [C]. Proceedings of The 2008 International Conference On Embedded and Ubiquitous Computing, Shanghai, China, IEEE Press, 2008: 192-198.
    [128] Kim J, Oh S, Yoo S, et a1.An Analytical Dynamic Scaling of Supply Voltage and Body Bias Based on Parallelism-Aware Workload and Runtime Distribution [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2009, 28(4): 568-581.
    [129]Andrei A, Eles P, Jovanovic O, et a1. Quasi-Static Voltage Scaling for Energy Minimization With Time Constraints [J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2011, 19(1): 10-23.
    [130]Andrei A, Schmitz M, Eles P, et a1. Overhead-conscious voltage selection for dynamicand leakage energy reduction of time-constrained systems [J]. IEE Proceedings of Computers and Digital Techniques, 2005, 152(1): 28- 38.
    [131]Hong S, Yoo S, Bin B, et a1. Dynamic Voltage Scaling of Supply and Body Bias Exploiting Software Runtime Distribution [C]. Proceedings of Design, Automation and Test in Europe, Munich, Germany, IEEE Press, 2008: 242-247.
    [132]Huang L, Xu Q. Energy-efficient task allocation and scheduling for multi-mode MPSoCs under lifetime reliability constraint [C]. Proceedings of Design, Automation and Test in Europe, Dresden, Germany, IEEE Press, 2010: 1584-1589.
    [133]Zhang Y, Hu X, Chen D Z. Task scheduling and voltage selection for energy minimization [C]. Proceedings of the 39th Design Automation Conference, New Orleans, LA, USA, ACM Press, 2002: 183–188.
    [134]Veendrick H. Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits [J]. IEEE Journal of Solid-State Circuits, 19(4): 468-473.
    [135]Jejurikar R, Pereira C, Gupta R. Leakage aware dynamic voltage scaling for real-time embedded systems [C]. Proceedings of the 41st annual Design Automation Conference, San Diego, CA, USA, ACM Press, 2004:275-280.
    [136]Huang P, Ghiasi S. Leakage-Aware Intraprogram Voltage Scaling for Embedded Processors [C]. Proceedings of the 43rd annual Design Automation Conference, San Francisco, CA, USA , ACM Press, 2006: 364– 369.
    [137]STG. http://www.kasahara.elec.waseda.ac.jp/schedule/.
    [138]Torsche. http://rtime.felk.cvut.cz/scheduling-toolbox/
    [139] Huang P K, Ghiasi S. Efficient and Scalable Compiler-Directed Energy Optimization for Realtime Applications [C]. Proceedings of Design, Automation and Test in Europe, Paris, France, ACM Press, 2007:1-6.
    [140] Raghunathan V, Srivastava M B, Gupta R K. A survey of techniques for energy efficient on-chip communication[C]. Proceedings of Design Automation Conference, Monterey, USA, ACM Press, 2003: 900-905.
    [141]Liu D L, Svensson C, Power consumption estimation in CMOS VLSI chips [J].IEEE Journal of Solid-State Circuits, 1994, 29(6): 663-670.
    [142]Kim E J, Link G M, Yum K H, et a1. A holistic approach to designing energy-efficient cluster interconnects [J]. IEEE Transaction on Computers, 2005, 54(6): 660–671.
    [143]Malani P, Mukre P, Qiu Q. Profile-Based Low Power Scheduling for Conditional Task Graph: A Communication Aware Approach[C]. International Symposium on Circuits and Systems, New Orleans, Louisiana, IEEE Press, 2007: 2116-2119.
    [144]Xu R, Melhem R, MosséD. A unified practical approach to stochastic DVS scheduling[C]. Proceedings of the 7th ACM International Conference on Embedded Software, Salzburg, Austria, ACM Press, 2007: 37-46.
    [145]Wu D, Al-Hashimi B M, Schmitz M T, et a1. Power-composition profile driven co-synthesis with power management selection for dynamic and leakage energy reduction[C]. Proceedings of 8th Euromicro Conference on Digital System Design, Washington, DC, USA, 2005: 34-40.
    [146]Xu C Q, Xue C J, He Y, et a1. Energy efficient joint scheduling and multi-core interconnect design [C]. Proceedings of 15th Asia and South Pacific Design Automation Conference (ASP-DAC), Taipei, Taiwan, IEEE Press, 2010:879-884.
    [147]Son S W, Malkowski K, Chen G. Integrated Link/CPU Voltage Scaling for Reducing Energy Consumption of Para- llel Sparse Matrix Applications [C]. Proceedings of Workshop on High-Performance, Power-Aware Computing, Rhodes Island, Greece: IEEE Press, 2006:8-15.
    [148]Hu J, Marculescu R. Communication and task scheduling of application-specific networks-on-chip [J]. IEE Proceedings of Computers and Digital Techniques, 2005, 152(5): 643- 651.
    [149]Wang Y, Liu D, Wang M, et al. Optimal Task scheduling by removing inter-core communication overhead for streaming applications on MPSoC [C]. Proceedings of the 16th IEEE Real-Time and Embedded Technology and Applications Symposium in conjunction with CPS Week, Stockholm, Sweden, IEEE Press, 2010:154-204.
    [150]Watanabe R, Kondo M, Masashi I. Task scheduling under performance constraints for reducing the energy consumption of the GALS multi-processor SoC [C]. Proceedings of the 2010 ACM Symposium on Applied Computing, Sierre, Switzerland, ACM Press, 2007: 797-802.
    [151]Gruian F, Kuchcinski K. Low-Energy Directed Architecture Selection and Task Scheduling for System-Level Design [C]. Proceedings of 25th EUROMICRO '99 Conference, Informatics: Theory and Practice for the New Millenium, Milan, Italy, IEEE Press, 1999: 1296-1302.
    [152]Hsieh C, Pedram M. Architectural energy optimization by bus splitting [J]. IEEE Transaction on Computer-Aided Design (TCAD) of Integrated Circuits and Systems, 2002,21(4): 408-414.
    [153]Fornaciari W, Sciuto D, Silvano C. Power estimation for architecture exploration of hw/sw communication on system level buses [C]. Proceedings of the Seventh International Workshop on Hardware/Software Codesign, Roma, Italy, ACM Press, 1999: 152-156.
    [154]Pandey S, Glesner M. Statistical on-chip communication bus synthesis and voltage scaling under timing yield constraint[C]. Proceedings of Design Automation Conference (DAC), San Francisco, CA, USA, ACM Press, 2006: 663-668.
    [155]Pandey S, Glesner M. Energy conscious statistical on-chip communication bus synthesis[R] Technical report, 2006.
    [156]M. Ruggiero, Guerri A, Bertozzi D, et al. Communication-aware allocation and scheduling framework for stream-oriented multi-processor systems-on-chip[C]. Proceedings of Design, Automation and Test in Europe, Munich, Germany, ACM Press, 2006: 6-10.
    [157]Li R, Huang H. List scheduling for jobs with arbitrary release times and similar lengths[J]. Journal of Scheduling, 2007, 10(6): 365–373.
    [158]Mtibaa A, Ouni B, Abid M. An efficient list scheduling algorithm for time placement problem[J]. computers & electrical engineering, 2007, 33(4): 285–298.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700