用户名: 密码: 验证码:
基于Boole过程的考虑互连效应的EDA方法研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
在VDSM工艺下,对IC电路进行逻辑级模拟验证、测试生成、布局和布线等技术处理时,均要考虑互连效应所产生的影响,只有这样才能较真实地反映电路的特性。本文对Boole过程论中的波形计算进行了扩展,运用该理论研究上述四方面技术中的考虑互连效应的新算法。
     互连效应,特别是其中的串扰问题对电路的逻辑和时序有着严重的影响,仅凭传统的逻辑级模拟已经不能反映电路的真实情况。目前的模拟验证技术必须考虑互连效应。而准确地考虑这些因素还必须要做模拟布图。耦合电容和动态的信号跳变情况是产生串扰的两个重要因素,其中后者决定了串扰能否发生,因此通过逻辑级模拟产生的波形关系对串扰估计和优化就具有了重要作用。运用考虑互连效应的模拟所获得的信号跳变数可以较为准确地估计电路的功耗。
     本文提出了基于Boole过程论的逻辑级模拟验证方法。针对冒险检测、反馈环路的处理、伪路径识别和惯性延迟冲突等波形模拟中的关键问题,采用基于Boole过程的方法进行描述、分析和解决。冒险检测定理给出了通过波形运算检测电路中冒险现象的形式化方法;分时片处理法和波形递增算法解决了Boole过程在处理电路中反馈环问题上的缺陷;伪路径识别算法能够有效地发现电路网表中的冗余部分;而惯性冲突消除法能使信号波形的描述更加准确。本文运用这些概念和结论设计了并行逻辑级模拟基本算法。该算法不需要对初始数据进行划分,而是利用活动元件计算的高度并行性在模拟过程中动态地形成活动元件队列的方法进行并行计算。本算法通过对队列中的元素计算调度优先权的方法提高了并行加速比。
     为了模拟互连效应下的逻辑波形,本文将互连线看作一个元件,定义了其上的原始波形、串扰波形和互连传输门。在给定工艺和版图信息的条件下,提出了基于Boole过程中波形表示的互连线上的串扰波形计算方法。定义了逻辑-串扰关系图,将逻辑级模拟基本算法和串扰计算结合起来,并通过该图完成了考虑互连效应的逻辑波形模拟。本文使用VHDL描述了互连线串
The technology treatment with IC in VDSM, for example logic level simulation, ATPG, routing and placement, must consider the interconnect effect. Otherwise the characteristic of circuits can not be reflected more factually, some extensions are done on the waveform computing in Boolean Process in this dissertation, by which some new algoritms considering interconnect effect are discussed in above four technologies.Interconnect effect, especially crosstalk disturbs the logic and time sequence badly, the traditional logic level simulation can not reflect the factual state of circuit. So interconnect effect must be considered in simulation technology. The layout simulation must be done for considering the factors exactly. Coupling capacitance and dynamic switches of signals are two important crosstalk factor. Dynamic switches of signals decide the generation of crosstalk. So the waveforms relation generated by logic level simulation has important effect on the estimation and optimization of crosstalk. The power of circuit can be estimated more exactly by the sum of switches of circuit generated by the simulation considering interconnect effect.A Boolean Process-based logic level simulation method is presented in this dissertation. Some critical problems, for example hazards finding, feedback cycle treatment, false paths finding and inertial delay conflict, are described, analysed and solved. The hazards finding theory is a formal method to find hazards in circuit by computing waveforms. Time piece method and waveform increase algorithm solve the defect of Boolean Process in feedback cycle treatment. False path finding algorithm can the redundant part in netlist. inertial conflict elimination can describe waveforms more exactly. Utilizing above concepts and results, a parallel algorithm for logic level simulation is presented. It need not partition the data, but forms the active components queue to realize parallel computing in simulation. The algorithm improves acceleration ratio by scheduling priority.
    For simulating the logic waveforms in interconnect effect, the ' interconnect component' is defined, including its original waveform, crosstalk waveform and interconnect transport gate. A Boolean Process-based computing method for crosstalk waveforms on nets is presented after obtaining layout information. The Logic-Crosstalk Graph is defined. It integrates the basic algorithm of logic level simulation and corsstalk computing, by which the waveforms simulation considering interconnect effect can be performed. The crosstalk delay computing of interconnect are described in VHDL.Aiming at the sensitization concept in Boolean Process, the computing rules of sensitization waveforms sets with time windows are defined, the method generating test waveforms in crosstalk is presented.For minimizing crosstalk in detailed routing, the distance between waveforms in Boolean Process is defined newly. Integrating coupling capacitance, the crosstalk between nets is defined. Based on above work, the object function for minimizing crosstalk in two-layer detailed routing is presented. It can reflect the crosstalk between nets more exactly and afford more space for adjusting routing. Routing generating tree and improved GA are presented, by which the channel routing method with minimizing crosstalk is designed. It can reduce the cost of computing. Variable parameter method is presented to design the routing algorithm with optimizing crosstalk, and the routing resource is utilized fully. Above methods have potential parallelism because of computing of all nets is performed simultaneity.The waveform computing considering interconnect effect can be utilized to estimate the power of circuit more exactly. So a macrocell placement algorithm that both minimizes length of wires and distributes power equably is presented. It considers the position of cell' pins, makes the minimizing of length of wires more flexible. The confirming of power centre makes the picking up of power more convenient. Algorithm decomposes big scale placement optimization by grouping and reduces the sum of wires by integrating.
引文
[1] Pogge H B. The next chip challenge: effective methods for viable mixed technology SoCs. In Proc 39th DAC, 2002: 84-87P
    [2] Harry V. Deep-Submicron CMOS ICs. Boston: Kluwer Academic Publisher, 2001: 11-36P
    [3] MacMillen D, Butts M et al. An industrial view of electronic design automation. IEEE Trans. on CAD of IC and systems, 2000, 19(12): 1428-1448P
    [4] Levy R, Rlaauw D. ClariNet: A noise analysis tool for deep submicron design. In Proc 37th DAC, 2000: 233-238P
    [5] Wang Q, Sarma B, Vrudhula K. Algorithms for minimizing standby power in deep submicron, dual VT CMOS circuits. IEEE Trans. on CAD of IC and systems, 2002, 21(3): 210-234P
    [6] Min Y. Boolean process-a-n analytical approach to circuit representation. In Proc 3rd Asian Test Symposium, 1994: 249-254P
    [7] Min Y, Zhao Z, Li Z. Boolean process-an analytical approach to circuit representation (Ⅱ). In Proc 4th Asian Test Symposium, 1995: 26-32P
    [8] Lam W K C, Brayton R K. Timed Boolean functions. Boston: Kluwer Academic Publisher, 1994:19-68P
    [9] 闵应骅,李忠诚,赵著行.Boole过程论.中国科学,1996,26(6):542-546页
    [10] Kunle O, Mark H, David O. Digital system simulation: methodologies and examples. In Proc 35th DAC, 1998: 658-662P
    [11] Vijaykrishnan N, Kandemir M et al. A unified energy framework with integrated hardware-software optimizations. In Proc ISCA, 2000:173-179P
    [12] Suaris P R, Kedem G. An algorithm for quadrisection and its application to standard cell placement. IEEE Trans. on Circuit System,1988, 35(8): 294-303P
    [13] Dunlop A E, Kernighan B W. A procedure for placement of standard cell VLSI circuits. IEEE Trans. on CAD of IC and systems, 1985, 4(1): 92-98P
    [14] Srinivasan A, Chaudhary K, Kuh E S. RITUAL: a performance driven placement algorithm. IEEE Trans. on Circuit System,1992, 39(11): 825-840P
    [15] Kleinhans J M, Sigl G, Johannes F M. GODIAN: VLSI placement by quadratic programming and slicing optimization. IEEE Trans. on CAD of IC and systems,1991, 10(3): 356-365P
    [16] Hamada T, Cheng C K, Chau P M. Prime: a timing-driven placement tool using a piecewise linear resistive network approach. In Proc 30th DAC, 1993: 531-536P
    [17] 吴为民,洪先龙,蔡懿慈等.面向甚大规模集成电路的时延驱动布局方法.电子学报,2001,29(8):1018-1022页
    [18] Gwee B H, Lim M H. A GA with heuristic-based decoder for IC floorplanning. Integration the VLSI journal, 1999, 28(9): 157-172P
    [19] 李世平,战守义.EDA软件中的布局优化算法及其改进.计算机工程与应用,2003,39(3):95-97页
    [20] Gloria A, Faraboschi P, Olivieri M. Block placement with a Boltzmann machine. IEEE Trans. on CAD of IC and systems,1994,13(6): 694-701P
    [21] Hashimoto A, Stevences J. Wire routing by optimizing channel assignment within large apertures. In Proc 8th Design Automation Workshop, 1971: 155-159P
    [22] 陈平,杨刚,刘鑫等.VLSI标准单元通道布线的研究.西安电子科技大学学报,1998,25(12):830-833页
    [23] 徐宁,何松柏,虞厥邦.通道布线的神经网络优化算法.计算机辅助设计与图形学学报,2002,14(1):1-3页
    [24] Zhou Hai, Wong D F. An optimal algorithm for river routing with crosstalk constraints. In Proc ICCAD, 1996:310-316P
    [25] Deutsch D. A dogleg channel router. In Proc 13rd DAC, 1976: 425-433P
    [26] Yoshimura T, Kih E S. Efficient algorithms for channel routing. IEEE Trans. on CAD of IC and systems, 1982,1 (1): 25-35P
    [27] River R L, Fiduccia C M. A Greedy channel router. In Proc 19th DAC, 1982: 418-424P
    [28] Burstein M, Pelavin R. Hierarchical channel router. In Proc 20th DAC, 1983: 591-597P
    [29] Reed J, Vincentelli A S, Santomauro M. A new symbolic channel router: YACR-2. IEEE Trans. on CAD of IC and systems, 1985, 4(2): 208-219P
    [30] Braun D, Bums J L, et al. Techniques for multilayer channel routing. IEEE Trans. on CAD oflC and systems, 1988, 7(6):698-712P
    [31] Chen Y, Liu M. Three-layer channel routing. IEEE Trans. on CAD of IC and systems, 1984, 3(2): 156-163P
    [32] Lim J S. Finding rectilinear(L1), link metric and combined shortest paths with an intelligent search method. Trans. on Korea Information Processing Society, 1996, 3(1): 43-54P
    [33] Braun D, et al. Chameleon: a new muti-layer channel router. In Proc 23rd DAC, 1986: 495-502P
    [34] Xue T, Kuh E S, Wang D. Post global routing crosstalk synthesis. IEEE Trans. on CAD of IC and systems, 1997,16(12):1418-1430P
    [35] Zhou H, Wong D F. Global routing with crosstalk constraints. In Proc 35th DAC, 1998: 374-377P
    [36] Chaudhary K, Onazawa A, Kuh E S. A space algorithm for performance enhancement and crosstalk reduction. In Proc ICCAD, 1993: 697-702P
    [37] Kim K W, Narayanan U, Kang S M. Domimo logic synthesis minimizing crosstalk. In Proc 37th DAC, 2000: 280-285P
    [38] Saxena P, Liu C L. A postprocessing algorithm for crosstalk-driven wire perturbation. IEEE Trans. on CAD of IC and systems, 2000,19(6):691-695P
    [39] Tseng H P, Seheffer L, Sechen C. Timing and crosstalk-driven area routing. IEEE Trans. on CAD oflC and systems, 2001, 20(4): 528-538P
    [40] Xiong J, He L. Full-chip routing optimization with RLC crosstalk budgeting. IEEE Trans. on CAD of IC and systems, 2004, 23(3): 366-378P
    [41] Becer M R, Blaauw D, et al. Early probabilistic noise estimation for capacitively coupled interconnects. IEEE Trans. on CAD of IC and systems, 2003, 22(3): 337-345P
    [42] 宋任儒,阮刚.深亚微米VLSI电路中互连线的几何优化设计.固体电子学研究与进展,2000,20(2):84-93页
    [43] Alpert C J, Kahng A B, Liu B, et al. Minimum buffered routing with bounded capacitive load for slew rate and reliability control. IEEE Trans. on CAD of IC and systems, 2003, 22(3): 241-253P
    [44] Cheng Y K, Raha P, et al. ILLIADS-T:an electrothermal timing simulator for temperature-sensitive reliability diagnosis of CMOS VLSI chips. IEEE Trans. on CAD of IC and systems, 1998,17(8):668-684P
    [45] 王乃龙,戴宏宇,周润德.用模拟退火法实现集成电路热布局优化.半导体学报,2003,24(4):427-432页
    [46] Wang C Y, Roy K. Maximum power estimation for CMOS circuits using deterministic and statistical approaches. IEEE Trans VLSI, 1998, 6(1), 134-140P
    [47] Uchino T, Cong J. An interconnect energy model considering coupling effects. IEEE Trans. on CAD of IC and systems, 2002, 21(7): 763-775P
    [48] Chabini N, Chabini I, et al. Methods for minimizing dynamic power consumption in synchronous designs with multiple supply voltages. IEEE Trans. on CAD of IC and systems, 2003, 22(3): 346-351P
    [49] Chao K, Wong D F. Thermal placement for high-performance multichip modules. In Proc ICCAD, 1995:218-221P
    [50] Csendes A, Szekely V, Rencz M. An efficient thermal simulation tool for IC's, microsystem elements and MCM' s: the uS-THERMANAL. Microelectronics Journal, 1998, 29(4-5): 241-256P
    [51] Weste N H, Eshraghian K. Principles of CMOS VLSI design. Boston: Addison-Wesley Publishing Company, 2nd edition, 1993: 30-67P
    [52] Macii E, Pedram M, Somenzi F. High-level power modeling, estimation and optimization. In Proc 34th DAC, 1997:504-511P
    [53] Krashinsky R, Heo S, et al. SyCHOSys: Complied energy-performance cycle simulation. In Proc 27th ISCA, 2000: 341-348P
    [54] Murolushi M, Ishioka T, Murakata M,et al. Layout driven resynthesis for low power consumption VLSIs. In Proc 34th DAC, 1997: 666-669P
    [55] Xu M, Kurdahi F J. Layout-driven RTL binding techniques for high-level synthesis. In Proc 9th Int'l Symp. on System Synthesis, 1996: 33-38P
    [56] Metsu P. RTL-to-silicon floorplanning for ASIC/IC designs.Electronic Engineering, 1996,68(834): 57-68P
    [57] Min Y, Zhao Z, Li Z. An analytical delay model based on Boole Process. In 9th Int'l Conf. on VLSI Design,1996: 162-165P
    [58] Zhao Z, Li Z, Mi Y. Waveform polynomial manipulation using BDDs. In Proc 5th Asian Test Symposium, 1996: 136-276P
    [59] 杜振军,马光胜,冯刚.基于布尔过程论的层次化延时分析方法.计算机研究与发展,2001,38(10):1269-1275页
    [60] Du Z J, Ma G S, Feng G. A WGL verification approach based on polynomial symbolic manipulations. In Proc WRTLT'03, 2003: 143-150P
    [61] 赵著行,闵应骅,李忠诚.布尔过程在通路敏化中的应用.计算机学报,1996,19(8):568-575页
    [62] Zhao Z, Min Y, Li Z. Path sensitization. In Workshop on Computer-Aided Design for Test, Evaluation and Dependability, 1996:126-131P
    [63] Du Z J, Feng G, Ma G S. Exact timing analysis for high performance design. In Proc 6th ICYCS, Oct. 2001: 5-9P
    [64] Ma G S et al. An approach to multiple valued logic circuit simplification and representation. In Proc 3rd CAID&CD, 2000: 547-552P
    [65] 尤志强,张大方,刘先霞.基于布尔过程论的波形和波形空间的性质及应用.电子学报,2000,28(8):107-109页
    [66] 赵著行,李忠诚,闵应骅.用布尔过程计算电路延时.第六届全国容错计算会议,1995:210-215页
    [67] Min Y, Li Z. An analytical delay model. Journal of Computer Science & Technology, 1999, 14(2): 97-115P
    [68] Du Z J, Ma G S, Feng G. Precise clocking for high-speed chip design. In Proc 4th CAID&CACD, 2001, 337-341P
    [69] 于潇洋,闵应骅,李忠诚,吴诚文.布尔过程论的实验研究.计算机学报,2000,23(11):1196-1200页
    [70] 李立健,闵应骅.基于布尔过程的组合电路波形模拟.计算机辅助设计与图形学学报.2001,13(3):242-246页
    [71] 赵著行.布尔过程及其应用[博士学位论文].北京:中国科学院计算技术研究所,1997:33-46P
    [72] 骆祖莹,闵应骅,杨士元.用于CMOS电路平均功耗快速模拟的输入向 量对序列压缩方法:理论与实践.计算机学报,2001,24(10):1034-1043页
    [73] 赵宇虹,李忠诚,闵应骅.带时间参数布尔函数的符号表示及其在计算电路延迟中的应用.计算机学报,1997,20(10):908-917页
    [74] Min Y, Li Z. IDDT testing versus IDDQ testing. Journal of Electronic Testing: Theory and Applications, 1998, 13(1): 51-55 P
    [75] 李华伟,李忠诚,闵应骅.带时间参数的测试产生.计算机学报,1999,22(4):390-394页
    [76] 李华伟,李忠诚,闵应骅.单跳变敏化.计算机辅助设计与图形学学报,2000,12(4):308-311页
    [77] 李华伟,李忠诚,闵应骅.基于测量的时滞故障诊断.计算机学报,1999,22(11):1178-1183页
    [78] Li H, Li Z, Min Y. Reduction of number of paths to be tested in delay testing. Journal of Electronic Testing: Theory and Applications, 2000, 16(5): 477-487P
    [79] 李华伟,李忠诚,闵应骅.双倍可变观测点的时滞测试.电子学报,1999,27(11):120-122页
    [80] 杜振军,马光胜,冯刚.考虑噪声效应的VDSM延时测试生成方法.哈尔滨工程大学学报,2003,24(4):431-435页
    [81] Yu X, Min Y. Design for delay verifiability. Journal of Computer Science & Technology, 1998, 13 (Supp.): 69-75P
    [82] 叶铭,朱仙寿,张明.电子线路计算机辅助分析与设计.杭州:浙江大学出版社,1994:14-18页
    [83] Evans A, Silburt A, Vrckovnik G. Functional verification of large ASICs. In Proc 35th DAC, 1998: 650-652P
    [84] Luo Y, Wongsonegoro T, Aziz A. Hybrid Techniques for Fast Functional Simulation. In Proc 35th DAC, 1998: 664-670P
    [85] 李思昆,曾芷德,曾献君,彭宇行.数字系统并行CAD技术.北京:国防工业出版社,2000:5-12页
    [86] 阮刚,肖夏,Reinhard Streiter等.VLSI电路中互连线特性研究及其数值模拟.微电子学,2000,30(2):1-5页
    [87] 孙玲玲,严晓浪,蔡妙花.互连线网时延估算的一种有效算法.电子学 报,1999,27(11):87-89页
    [88] Sasaki Y, Micheli G D. Crosstalk delay analysis using relative window method. In Proc Int'l ASIC/SoC Conf., 1999: 1-13P
    [89] Chen W Y, Gupta S K, Breuer M A. Analytical models for crosstalk excitation and propagation in VLSI circuits. IEEE Trans. on CAD of IC and systems, 2002, 21(10):1117-1131P
    [90] Vrudhula S, Blaauw D T, Sirichotiyakul S. Probabilistic analysis of interconnect coupling noise. IEEE Trans. on CAD of IC and systems, 2003, 22(9): 1188-1193P
    [91] Liou J, Krstic A, Jiang Y, et al. Modeling, testing, and analysis for delay defects and noise effects in deep submicron devices. IEEE Trans. on CAD of IC and systems, 2003, 22(6): 756-768P
    [92] Anmachalam R, Rajagopal K, Pileggi L T. TACO: Timing analysis with coupling. In Proc 37th DAC, 2000: 266-269P
    [93] Tehrani P F, Chyou S W, Ekambaram U. Deepsubmicron static timing analysis in presence of crosstalk. In Proc ISQED, 2000:505-512P
    [94] Franzini B, Forzan C, Pandini D, et al. Crosstalk aware static timing analysis:atwo step approach. In Proc ISQED, 2000: 499-503P
    [95] Tseng K, Kariat V. Static noise analysis with noise windows. In Proc 40th DAC, 2003: 864-868P
    [96] Hassoun S, Cromer C, Gamez E C. Static timing analysis for level-clocked circuits in the presence of crosstalk. IEEE Trans. on CAD of IC and systems, 2003, 22(9): 1270-1276P
    [97] Bakoglu H B. Circuits, interconnections and packaging for VLSI. Boston: Addison-Wesley Publishing Company, 1990: 20-45P
    [98] Kastner R, Bozorgzadeh E, Sarrafzadeh M. Pattem routing:using and theory for increasing predictability and avoiding coupling. IEEE Trans. on CAD of IC and systems,2002, 21(7): 777-790P
    [99] 彭宇行,李思昆,陈福接.动态计算逻辑电路的延时.微电子学与计算机,1997,14(1):1-4P
    [100] Chen L H, Malgorzata M S. Aggressor alignment for worst-case crosstalk noise. IEEE Trans. on CAD oflC and systems, 2001, 20(5):612-620P
    [101] Sapatnekar S S. A timing model incorporating the effect of crosstalk on delay and its application to optimal channel routing. IEEE Trans. on CAD oflC and systems, 2000, 19(5): 550-555P
    [102] Gao T, Liu C L. Minimum crosstalk channel routing. IEEE Trans. on CAD of IC and systems, 1996, 15(5): 465-474P
    [103] 张徐亮,赵梅,范明钰等.一种在VLSI电路物理设计中减小串扰的优化方法.计算机辅助设计与图形学学报,2001,13(4):289-293P
    [104] Gao T, Liu C L. Minimum crosstalk switchbox routing. In Proc ICCAD, 1994: 610-617P
    [105] Liu Q, Tang P. Crosstalk estimation and optimization in deep sub-micron VLSI. Chinese Journal of Semiconductors, 2002, 23(5): 535-539P
    [106] Kay R, Rutenbar R A. Wire packing: a strong formulation of crosstalkaware chip-level track/layer assignment with an efficient integer programming solution. In Proc Int'l Symp. on Physical Design, 2000: 61-68P
    [107] 洪先龙,严晓浪,乔长阁.超大规模集成电路布图理论与算法.北京:科学出版社,1998:250-257页
    [108] Jiang H R, Chang Y W, Jou J Y. Crosstalk-driven interconnect optimization by simultaneous gate and wire sizing. IEEE Trans. on CAD of IC and systems, 2000, 19(9): 999-1001P
    [109] Holland J H. Adaptation in natural and artificial systems. Ann Arbor: University of Michigan press, 1975:110-148P
    [110] Joobanni R. An artificial intelligence approach to VLSI routing. Dordrecht: Kluwer Academic Publisher, 1986: 12-34P
    [111] Shahookar K, Mazumder P. A genetic approach to standard cell placement using meta-genetic parameter optimization. IEEE Trans. on CAD of IC and systems, 1990, 9(5): 500-511P
    [112] Rahmani A T, Ono N. A genetic algorithm for channel routing problem. In Proc 5th ICGA, 1993: 494-498P
    [113] Najm F N. A survey of power estimation techniques in VLSI circuits. IEEE Trans VLSI, 1994, 2(4): 446-455P
    [114] Burch R, Najm F N, Yang P, et al. A Monte Carlo approach for power estimation. IEEE Trans VLSI, 1993, 1(1): 63-71P
    [115] Chou T L, Roy K. Statistical estimation of sequential circuit activity. In Proc ICCAD, 1995: 34-37P
    [116] Davadas S, Keutzer K, White J. Estimation of power dissipation in CMOS combinational circuits using Boolean function manipulations. IEEE Trans. on CAD of IC and systems, 1992, 11(3): 373-383P
    [117] Najm F N. Transition density: a new measure of activity in digital circuits. IEEE Trans. on CAD oflC and systems, 1993,12(2): 310-323P
    [118] Tsui C Y, Meteiro J, et al. Power estimation in sequential logical circuits. IEEE Trans VLSI, 1.995, 3(5): 404-416P
    [119] Marculescu R, Marculescu D, Pedram M. Sequence compaction for power estimation: theory and practice. IEEE Trans. on CAD of IC and systems, 1999, 18(7): 973-993P
    [120] 卢君明,林争辉.CMOS VLSI电路最大功耗估计.电子学报,2001,29(5):1-4页
    [121] Chao K Y, Wong D F. Low power consideration in floorplan design. In Proc IWLPD, 1994: 45-50P
    [122] 孔天明,洪先龙,乔长阁.功耗和时延双重驱动的VLSI布局算法.半导体学报,1998,19(1):54-60页
    [123] Goto S. An efficient algorithm for the tow-dimensional placement problem in electical circuit layout. IEEE Trans. on Circuits and Systems, 1981, 28(1):12-18P
    [124] Swartz W, Sechen C. New algorithm for the placement and routing of macro cells. In Proc ICCAD,1990: 336-339P
    [125] Murata H, Fujiyoshi K, Nakatake S, et al. VLSI module placement based on rectangle-packing by the sequence pair. IEEE Trans. on CAD of IC and systems,1996, 15(12):1518-1524P
    [126] Kang M Z, Dai W M. Arbitrary rectilinear block packing based on sequence pair. In Proc ICCAD, 1998: 259-266P
    [127] Nakatake S, Murata H, Fujiyoshi K, et al. Module placement on BSG-tructure and IC Layout application. In Procs ICCAD, 1996: 484-490P
    [128] Sha L, Blank T. ATLAS-A technique for layout using analytical shape. In Proc ICCAD, 1987: 84-87P
    [129] 于泓,洪先龙,蔡懿慈,姚波.一种新型宏模块和标准单元的混合模式布局算法.电子学报,2000,28(5):1-4页
    [130] Kim J G, Kim Y D. A linear programming-based algorithm for floorplanning in VLSI Design. IEEE Trans. on CAD of IC and Systems, 2003, 22(5):584-592P
    [131] 王克胜,唐璞山.一种采用规划方法的宏模块布局算法.计算机辅助设计与图形学学报,2002,14(5):413-416页

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700